Get alerts for new jobs matching your selected skills, preferred locations, and experience range. Manage Job Alerts
3 - 8 years
7 - 11 Lacs
Bengaluru
Work from Office
Project Role : Silicon Firmware & Embedded Engineer Project Role Description : Write the software that powers and operates a silicon chip. Develop pre-silicon firmware and software with a direct interface to hardware. Integrate and develop C tests/APIs and software build flow. Perform design and code reviews. Test and validate product implementations. Must have skills : Embedded C Good to have skills : NA Minimum 3 year(s) of experience is required Educational Qualification : 15 years full time education Summary :As a Silicon Firmware & Embedded Engineer, you will be responsible for writing the software that powers and operates a silicon chip. Your role involves developing pre-silicon firmware and software with a direct interface to hardware, integrating and developing C tests/APIs and software build flow, performing design and code reviews, and testing and validating product implementations. Roles & Responsibilities: Expected to perform independently and become an SME. Required active participation/contribution in team discussions. Contribute in providing solutions to work related problems. Develop and write pre-silicon firmware for silicon chips. Integrate and develop C tests/APIs for hardware interface. Conduct design and code reviews for software implementations. Test and validate product implementations for quality assurance. Collaborate with cross-functional teams to ensure project success. Professional & Technical Skills: Must To Have Skills: Proficiency in Embedded C. Strong understanding of hardware-software interface. Experience with software build flow and testing methodologies. Hands-on experience in developing firmware for silicon chips. Knowledge of C tests/APIs integration. Familiarity with design and code review processes. Additional Information: The candidate should have a minimum of 3 years of experience in Embedded C. This position is based at our Bengaluru office. A 15 years full time education is required. Qualification 15 years full time education
Posted 4 months ago
5 - 10 years
7 - 11 Lacs
Bengaluru
Work from Office
Project Role : Silicon Firmware & Embedded Engineer Project Role Description : Write the software that powers and operates a silicon chip. Develop pre-silicon firmware and software with a direct interface to hardware. Integrate and develop C tests/APIs and software build flow. Perform design and code reviews. Test and validate product implementations. Must have skills : Python (Programming Language) Good to have skills : Shell Scripting Minimum 5 year(s) of experience is required Educational Qualification : 15 years full time education Summary :As a Silicon Firmware & Embedded Engineer, you will be responsible for writing the software that powers and operates a silicon chip. Your role involves developing pre-silicon firmware and software with a direct interface to hardware, integrating and developing C tests/APIs and software build flow, performing design and code reviews, and testing and validating product implementations. Roles & Responsibilities: Expected to be an SME. Collaborate and manage the team to perform. Responsible for team decisions. Engage with multiple teams and contribute on key decisions. Provide solutions to problems for their immediate team and across multiple teams. Develop innovative solutions to complex technical challenges. Conduct thorough testing and validation of firmware and software components. Stay updated on industry trends and advancements in silicon firmware and embedded engineering. Professional & Technical Skills: Must To Have Skills: Proficiency in Python (Programming Language). Good To Have Skills: Experience with Shell Scripting. Strong understanding of software development principles and practices. Knowledge of hardware-software interface and embedded systems. Experience in developing and debugging firmware for silicon chips. Proficient in C programming language for firmware development. Additional Information: The candidate should have a minimum of 5 years of experience in Python (Programming Language). This position is based at our Bengaluru office. A 15 years full time education is required. Qualification 15 years full time education
Posted 4 months ago
7 - 12 years
15 - 30 Lacs
Navi Mumbai
Work from Office
Greetings for the Day! About Lauritz Knudsen Electrical and Automation Lauritz Knudsen Electrical and Automation (A unit of Schneider Electric India Pvt. Ltd.) is a pioneering electrical and automation brand with a rich legacy of over 70 years in India. We offer comprehensive portfolio of low-voltage switchgear, medium-voltage switchgear, automation solutions, software, and services catering to diverse segments, including homes, agriculture, buildings, infrastructure, and industry. L&T Switchgear is now Lauritz Knudsen Electrical & Automation. We are looking out for experience professional with following expertise for Lauritz Knudsen (A Unit of Schneider Electric). If interested do apply for this role: Position Title: Manager / Senior Manager Experience : 6- 15 years Work Location: Mahape, Navi Mumbai. Key Responsibilities/Deliver: Design and development of embedded systems firmware. Coding and Algorithm Understanding System requirements/specification and architecting subsystem module specifications. Strong knowledge of Linux operating system, industrial communication protocol, Linux device Driver development, C/C++, ARM architecture. Functional Competencies: Strong experience in embedded system programming Experience on Linux development. Exposure to development of industrial communication protocol
Posted 4 months ago
5 - 10 years
7 - 11 Lacs
Bengaluru
Work from Office
Project Role : Silicon Firmware & Embedded Engineer Project Role Description : Write the software that powers and operates a silicon chip. Develop pre-silicon firmware and software with a direct interface to hardware. Integrate and develop C tests/APIs and software build flow. Perform design and code reviews. Test and validate product implementations. Must have skills : Embedded Software Good to have skills : NA Minimum 5 year(s) of experience is required Educational Qualification : 15 years full time education Summary :As a Silicon Firmware & Embedded Engineer, you will be responsible for writing the software that powers and operates a silicon chip. Your role involves developing pre-silicon firmware and software with a direct interface to hardware, integrating and developing C tests/APIs and software build flow, performing design and code reviews, and testing and validating product implementations. Roles & Responsibilities:- Validation of 802.11 Wireless LAN products (Access Point/Wi-Fi Direct/Station), BT Coex testing. This includes functional, performance validation. System test plan creation, test bed design and implementation Should be able to identify and debug issues and to track them. Release testing of WLAN solutions (automated and manual). A mix of Black box and White box testing of would be involved. Setting up test beds and other required provision for testing of Wi-Fi products Automate the test cases using one or more scripting languages(python)Preferred Qualifications Bachelors in Computer Science/EEE/ECE/Electronics/IT or equivalent degree is minimum needed. Scripting skills:Python, Shell scripting Experience with Linux OS mandatory. Good to have:Android, and/or RTOS environments Wi-Fi working knowledge is needed. (Wi-Fi Station, Access point - Mandatory. Specification knowledge in 802.11a/b/g/n/11ac is mandatory. Good to have:802.11ax, Wi-Fi 6E, Wi-Fi 7, Wi-Fi Direct, WPS. Good knowledge of tools for Wi-Fi traffic testing (Iperf, Chariot), protocol analysis (Omnipeek, Wireshark, tshark), Network traffic analysis (tcpdump). Good knowledge of IP networking is mandatory. (IP, DNS, DHCP, Multicast) A minimum of 4 years of working experience in WLAN products. Performance testing (Current measurement) is good to have. BT knowledge and testing is added advantage. Wi-Fi alliance certification experience is also added advantage. Good interpersonal, communication (Written and Verbal), interest to learn and excel and positive approach in work are mandatory Knowledge on WiFi modulations/data rate Additional Information:- The candidate should have a minimum of 5 years of experience in Embedded Software This position is based at our Bengaluru office A 15 years full time education is required Qualification 15 years full time education
Posted 4 months ago
2 - 7 years
12 - 16 Lacs
Bengaluru
Work from Office
Job Area: Engineering Group, Engineering Group > Hardware Engineering General Summary: Qualcomm is hiring strong DV engineers to verify high performance and low power CPUs in Bangalore. Please forward your profiles if you meet the requirement. Roles and Responsibilities o Responsible for power management verification includes Boot, Reset, clock gating, power gating, Voltage/frequency management, limit management and throttling. o Work closely with design/verification teams within CPU to develop comprehensive test plan. o Use simulation and formal verification methodologies to execute test plans. Write checkers, assertions and develop stimulus. o Verify power intent through use of methodologies like UPF. o Work closely with system architects, software teams and Soc team to validate system use cases. o Work closely with emulation team to enable verification on emulators and FPGA platforms. o Debug and triage failures in simulation, emulation and/or Silicon. Minimum Qualifications: Bachelor's degree in Computer Science, Electrical/Electronics Engineering, Engineering, or related field and 4+ years of Hardware Engineering or related work experience. OR Master's degree in Computer Science, Electrical/Electronics Engineering, Engineering, or related field and 3+ years of Hardware Engineering or related work experience. OR PhD in Computer Science, Electrical/Electronics Engineering, Engineering, or related field and 2+ years of Hardware Engineering or related work experience. o BE/BTech degree in CS/EE with 3+ years"™ experience. o Experience in power management verification. o Implementation of assembly and C language embedded firmware. o Experience in C/C++, scripting languages, Verilog/system Verilog. o Strong understanding of power management features in CPUs and CPU based Socs. o Experience in verification of power management features such as clock gating, power gating, UPF, DVFS/DCVS, reliability, throttling etc. Preferred Requirements: o Good Understanding of CPU architectures and CPU micro-architectures. o In-depth knowledge of digital logic design, micro-processor, debug feature, and DFT architecture and microarchitecture o Experience with advanced verification techniques such as formal and assertions is a plus o Knowledge and verification experience in DFT and structural debug concepts and methodologiesJTAG, IEEE1500, MBIST, scan dump, memory dump is a plus
Posted 4 months ago
5 - 10 years
8 - 15 Lacs
Hyderabad
Work from Office
Job Summary : As a Firmware Developer, you will be responsible for designing, developing, and optimizing embedded firmware for Bluetooth Low Energy (BLE) medical devices . You will collaborate closely with mobile, cloud, and hardware teams to ensure seamless communication and system reliability. Location : Hyderabad Key Responsibilities Firmware Development - Architect, implement, and optimize robust embedded firmware for BLE-based medical devices. BLE Communication - Ensure reliable BLE communication by fine-tuning GATT profiles, GAP settings, and connection parameters. Memory & Performance Optimization - Manage static memory allocation, flash memory layout, and power efficiency in resource-constrained environments. Cross-Platform BLE Handling - Work with mobile teams to handle BLE behavior inconsistencies across iOS and Android. Debugging & Optimization Utilize BLE sniffers, debugging tools, and real-time logging to troubleshoot firmware issues. Security & Compliance – Implement secure pairing, bonding, and OTA firmware updates while adhering to medical device standards. Required Skills & Expertise Strong experience of 4+ years in Firmware/Embedded Development. Strong knowledge of BLE stack APIs (GATT, GAP, L2CAP) and BLE protocol internals (advertising, connection events, link layer). Proficiency in C for embedded systems, with expertise in static memory management. Experience with wear leveling, sector erase schemes, and endurance techniques. Familiarity with BLE connectivity challenges on iOS & Android and ability to mitigate inconsistencies. Hands-on experience with Debugging like Wireshark, TI SmartRF Sniffer, or equivalent. Exposure to BLE-based cloud workflows and real-time data synchronization. RTOS Knowledge , Understanding of task scheduling, ISR management, and power-optimized firmware. Experience with TI CC2640R2F & TI-RTOS is a plus. Nice to Have OTA Firmware Updates: Experience with secure BLE pairing, bonding, and firmware upgrade mechanisms. Embedded Diagnostic Tools: Ability to develop real-time diagnostics for memory usage, BLE packet flow, and connection stability trends. Why Join Monitra Healthcare? Impact-Driven Work: Build life-saving medical technologies that make a real difference. Cutting-Edge Tech: Work with advanced BLE, IoT, and AI-powered healthcare solutions. Collaborative Team: Engage with a multidisciplinary team of engineers, data scientists, and healthcare experts. Join us in shaping the future of connected healthcare!
Posted 4 months ago
8.0 - 12.0 years
18 - 22 Lacs
noida
Work from Office
Good Knowledge of Embedded C, Free RTOS, Understanding of Schematic/Pin configurations, Working knowledge of Microcontrollers, UART, SPI, I2C, CAN Bootloader, Firmware Architecture and Flowchart Required Candidate profile C, C++, Embedded C, Python (for test scripts) GitLab CI/CD, Jenkins CAN, LIN, Modbus, BLE, TCP/IP, MQTT Logic analyzers, oscilloscopes, protocol analyzers
Posted Date not available
7.0 - 10.0 years
11 - 16 Lacs
mumbai
Work from Office
Looking to onboard a skilled Engineering Manager with expertise in Embedded Firmware to lead our team. The ideal candidate will have a strong background in managing and developing embedded firmware projects, with 7-10 years of experience. Roles and Responsibility Manage and develop embedded firmware for various devices and systems. Lead a team of engineers to design, develop, and test embedded firmware. Collaborate with cross-functional teams to identify and prioritize project requirements. Develop and implement testing procedures to ensure high-quality embedded firmware. Troubleshoot and debug issues related to embedded firmware development. Mentor and guide junior engineers to improve their skills and knowledge. Job Requirements Strong understanding of embedded systems architecture and design principles. Experience with programming languages such as C, C++, and assembly language. Knowledge of microcontrollers and digital electronics. Familiarity with version control systems like Git. Excellent problem-solving skills and attention to detail. Ability to work effectively in a team environment and communicate complex ideas clearly.
Posted Date not available
4.0 - 9.0 years
16 - 20 Lacs
bengaluru
Work from Office
Job Area: Engineering Group, Engineering Group > Software Engineering General Summary: As a leading technology innovator, Qualcomm pushes the boundaries of what's possible to enable next-generation experiences and drives digital transformation to help create a smarter, connected future for all. As a Qualcomm Software Engineer, you will design, develop, create, modify, and validate embedded and cloud edge software, applications, and/or specialized utility programs that launch cutting-edge, world class products that meet and exceed customer needs. Qualcomm Software Engineers collaborate with systems, hardware, architecture, test engineers, and other teams to design system-level software solutions and obtain information on performance requirements and interfaces. Minimum Qualifications: Bachelor's degree in Engineering, Information Systems, Computer Science, or related field and 4+ years of Software Engineering or related work experience. ORMaster's degree in Engineering, Information Systems, Computer Science, or related field and 3+ years of Software Engineering or related work experience. ORPhD in Engineering, Information Systems, Computer Science, or related field and 2+ years of Software Engineering or related work experience. 2+ years of work experience with Programming Language such as C, C++, Java, Python, etc.Qualcomm is a company of inventors that unlocked 5G ushering in an age of rapid acceleration in connectivity and new possibilities that will transform industries, create jobs, and enrich lives. But this is just the beginning. It takes inventive minds with diverse skills, backgrounds, and cultures to transform 5Gs potential into world-changing technologies and products. This is the Invention Age - and this is where you come in. GENERAL SUMMARY As a CPU Performance Management FW Developer, you are responsible for working with a team on the enablement of the power management solution for Qualcomm's custom CPUs. You will collaborate internally to help define a standard driven solution, and implement embedded firmware, to manage performance of the CPU subsystem. You will also be responsible for helping characterize and tune this solution for all Qualcomm SoCs utilizing Qualcomm custom CPUs. In CPU Power Management FW developer role, with focus on CPU performance management, you will be working closely both with the hardware power management and firmware development teams. You will have an opportunity to engage with third parties on enablement of new platforms, as well as providing input to our SoC and platform architects on future designs. Role and Responsibilities Drive the firmware design, implementation and verification, in pre-silicon and post-silicon environments. Assist in the definition CPU Performance Management solutions for future generation of Qualcomm CPUs. Actively engage with open source communities to contribute support for processor architectures, device drivers, and firmware features Assist in identifying skills requirements and in hiring engineers, collaborating with other teams on platform bring-up/debug/diags, firmware lifecycle, etc. Preferred Qualifications BS/MS in Electrical, Computer Engineering, or Computer Science with 8 to 12 years experience in embedded firmware development Skilled in DVFS, DCVS, SCMI, CPPC Self-starter who takes initiative and brings technical leadership Proficient writing and debugging C and assembly Driver development experience in RTOS or OS Kernel environments Demonstrated development experience with embedded OSes such as Zephyr, eCos, uC/OS or FreeRTOS Experience developing for pre-silicon environments including simulators and FPGA emulation Experience developing, characterizing and tuning performance management solutions an SoC subsystem will be a considerable plus. Familiarity with ARM v8 architecture. Development experience for a ARMV8 platform is a plus. Physical Requirements Frequently transports between offices, buildings, and campuses up to mile. Frequently transports and installs equipment up to 5 lbs. Performs required tasks at various heights (e.g., standing or sitting). Monitors and utilizes computers and test equipment for more than 6 hours a day. Continuous communication which includes the comprehension of information with colleagues, customers, and vendors both in person and remotely. Minimum Qualifications Education: Bachelors - Computer Science, Bachelors - Engineering, Bachelors - Information Systems Work Experiences: 4+ years experience with Programming Language such as C, C++, Java, Python, etc. ,8+ years of Software Engineering or related work experience You may e-mail or call Qualcomm's toll-free number found . To all Staffing and Recruiting Agencies :
Posted Date not available
3.0 - 8.0 years
14 - 18 Lacs
bengaluru
Work from Office
General Summary: Qualcomm is hiring strong DV engineers to verify high performance and low power CPUs in Bangalore. Please forward your profiles if you meet the requirement. Roles and Responsibilities o Responsible for power management verification includes Boot, Reset, clock gating, power gating, Voltage/frequency management, limit management and throttling. o Work closely with design/verification teams within CPU to develop comprehensive test plan. o Use simulation and formal verification methodologies to execute test plans. Write checkers, assertions and develop stimulus. o Verify power intent through use of methodologies like UPF. o Work closely with system architects, software teams and Soc team to validate system use cases. o Work closely with emulation team to enable verification on emulators and FPGA platforms. o Debug and triage failures in simulation, emulation and/or Silicon. Additional Job Descriptiono BE/BTech degree in CS/EE with 3+ years experience.o Experience in power management verification.o Implementation of assembly and C language embedded firmware.o Experience in C/C++, scripting languages, Verilog/system Verilog.o Strong understanding of power management features in CPUs and CPU based Socs.o Experience in verification of power management features such as clock gating, power gating, UPF, DVFS/DCVS, reliability, throttling etc.Preferred Requirements:o Good Understanding of CPU architectures and CPU micro-architectures.o In-depth knowledge of digital logic design, micro-processor, debug feature, and DFT architecture and microarchitectureo Experience with advanced verification techniques such as formal and assertions is a pluso Knowledge and verification experience in DFT and structural debug concepts and methodologies: JTAG, IEEE1500, MBIST, scan dump, memory dump is a plus Minimum Qualifications: Bachelor's degree in Computer Science, Electrical/Electronics Engineering, Engineering, or related field and 2+ years of Hardware Engineering or related work experience. ORMaster's degree in Computer Science, Electrical/Electronics Engineering, Engineering, or related field and 1+ year of Hardware Engineering or related work experience. ORPhD in Computer Science, Electrical/Electronics Engineering, Engineering, or related field.
Posted Date not available
6.0 - 11.0 years
19 - 25 Lacs
mumbai
Work from Office
We are looking for a skilled Engineering Manager with 6 to 11 years of experience to lead our embedded firmware systems team. The ideal candidate will have a strong background in managing teams and developing innovative solutions. Roles and Responsibility Manage and mentor a team of engineers to achieve project goals. Develop and implement strategies for successful product development. Collaborate with cross-functional teams to identify and prioritize project requirements. Design, develop, and test embedded firmware systems. Troubleshoot and debug complex issues. Ensure timely delivery of high-quality products. Job Requirements Minimum 6 years of experience in engineering management or a related field. Strong knowledge of embedded firmware systems and development methodologies. Excellent leadership and communication skills. Ability to work in a fast-paced environment and adapt to changing priorities. Strong problem-solving and analytical skills. Experience with agile development methodologies is an asset.
Posted Date not available
5.0 - 8.0 years
3 - 6 Lacs
pune
Work from Office
Primary Skills : Security, Embedded firmware/software and system,Software development in Embedded Linux, digital side, security space for IoTdevices, Cyber security, Security Threat modelling Technology: Cryptography,Electronic device security, Cyber security. Responsibilities : Conductingresearch on software and hardware to improve systems and execute upgrades. Monitoring of documentation during the entire process of developing anefficient embedded system. Conducting risk analysis and solution development. Evaluating a system and its operations' reliability. Recognizing and resolving systemic issues to enhance performance. Providing technical support to colleagues.
Posted Date not available
4.0 - 9.0 years
12 - 16 Lacs
bengaluru
Work from Office
General Summary: As a leading technology innovator, Qualcomm pushes the boundaries of what's possible to enable next-generation experiences and drives digital transformation to help create a smarter, connected future for all. As a Qualcomm Software Engineer, you will design, develop, create, modify, and validate embedded and cloud edge software, applications, and/or specialized utility programs that launch cutting-edge, world class products that meet and exceed customer needs. Qualcomm Software Engineers collaborate with systems, hardware, architecture, test engineers, and other teams to design system-level software solutions and obtain information on performance requirements and interfaces. Minimum Qualifications: Bachelor's degree in Engineering, Information Systems, Computer Science, or related field and 4+ years of Software Engineering or related work experience. ORMaster's degree in Engineering, Information Systems, Computer Science, or related field and 3+ years of Software Engineering or related work experience. ORPhD in Engineering, Information Systems, Computer Science, or related field and 2+ years of Software Engineering or related work experience. 2+ years of work experience with Programming Language such as C, C++, Java, Python, etc.Qualcomm is a company of inventors that unlocked 5G ushering in an age of rapid acceleration in connectivity and new possibilities that will transform industries, create jobs, and enrich lives. But this is just the beginning. It takes inventive minds with diverse skills, backgrounds, and cultures to transform 5Gs potential into world-changing technologies and products. This is the Invention Age - and this is where you come in. GENERAL SUMMARY As a CPU Power Management FW Developer, you are responsible for working with a team on the enablement of the power management solution for Qualcomm's custom CPUs. You will collaborate internally to help define and implement embedded firmware to manage operation of the CPU subsystem within the allocated power and thermal budgets. You will also be responsible for helping characterize and tune this solution for all Qualcomm SoCs utilizing Qualcomm custom CPUs. In CPU Power Management FW developer role, with focus on limits management, you will be working closely both with the hardware power management and firmware development teams. You will have an opportunity to engage with third parties on enablement of new platforms, as well as providing input to our SoC and platform architects on future designs. Role and Responsibilities Drive the firmware design, implementation and verification, in pre-silicon and post-silicon environments. Assist in the definition Limits management solutions for future generation of Qualcomm CPUs. Actively engage with open source communities to contribute support for processor architectures, device drivers, and firmware features Assist in identifying skills requirements and in hiring engineers, collaborating with other teams on platform bring-up/debug/diags, firmware lifecycle, etc. Preferred Qualifications BS/MS in Electrical, Computer Engineering, or Computer Science (or equivalent professional experience) with 8 to 12 years experience in embedded firmware development Self-starter who takes initiative and brings technical leadership Proficient writing and debugging C and assembly Driver development experience in RTOS or OS Kernel environments Demonstrated development experience with embedded OSes such as Zephyr, eCos, uC/OS or FreeRTOS Experience developing for pre-silicon environments including simulators and FPGA emulation Experience developing, characterizing and tuning solutions for power limiting, thermal limiting for an SoC subsystem will be a considerable plus. Familiarity with ARM v8 architecture. Development experience for a ARMV8 platform is a plus. Physical Requirements Frequently transports between offices, buildings, and campuses up to mile. Frequently transports and installs equipment up to 5 lbs. Performs required tasks at various heights (e.g., standing or sitting). Monitors and utilizes computers and test equipment for more than 6 hours a day. Continuous communication which includes the comprehension of information with colleagues, customers, and vendors both in person and remotely. Minimum Qualifications Education: Bachelors - Computer Science, Bachelors - Engineering, Bachelors - Information Systems Work Experiences: 4+ years experience with Programming Language such as C, C++, Java, Python, etc. ,8+ years of Software Engineering or related work experience
Posted Date not available
3.0 - 8.0 years
19 - 25 Lacs
bengaluru
Work from Office
General Summary: Qualcomm is hiring strong DV engineers to verify high performance and low power CPUs in Bangalore. Please forward your profiles if you meet the requirement. Roles and Responsibilities o Responsible for power management verification includes Boot, Reset, clock gating, power gating, Voltage/frequency management, limit management and throttling. o Work closely with design/verification teams within CPU to develop comprehensive test plan. o Use simulation and formal verification methodologies to execute test plans. Write checkers, assertions and develop stimulus. o Verify power intent through use of methodologies like UPF. o Work closely with system architects, software teams and Soc team to validate system use cases. o Work closely with emulation team to enable verification on emulators and FPGA platforms. o Debug and triage failures in simulation, emulation and/or Silicon. o BE/BTech degree in CS/EE with 3+ years experience. o Experience in power management verification. o Implementation of assembly and C language embedded firmware. o Experience in C/C++, scripting languages, Verilog/system Verilog. o Strong understanding of power management features in CPUs and CPU based Socs. o Experience in verification of power management features such as clock gating, power gating, UPF, DVFS/DCVS, reliability, throttling etc. Preferred Requirements: o Good Understanding of CPU architectures and CPU micro-architectures. o In-depth knowledge of digital logic design, micro-processor, debug feature, and DFT architecture and microarchitecture o Experience with advanced verification techniques such as formal and assertions is a plus o Knowledge and verification experience in DFT and structural debug concepts and methodologies: JTAG, IEEE1500, MBIST, scan dump, memory dump is a plus Minimum Qualifications: Bachelor's degree in Computer Science, Electrical/Electronics Engineering, Engineering, or related field and 8+ years of Hardware Engineering or related work experience. ORMaster's degree in Computer Science, Electrical/Electronics Engineering, Engineering, or related field and 7+ years of Hardware Engineering or related work experience. ORPhD in Computer Science, Electrical/Electronics Engineering, Engineering, or related field and 6+ years of Hardware Engineering or related work experience.
Posted Date not available
4.0 - 9.0 years
17 - 22 Lacs
bengaluru
Work from Office
General Summary: As a leading technology innovator, Qualcomm pushes the boundaries of what's possible to enable next-generation experiences and drives digital transformation to help create a smarter, connected future for all. As a Qualcomm Software Engineer, you will design, develop, create, modify, and validate embedded and cloud edge software, applications, and/or specialized utility programs that launch cutting-edge, world class products that meet and exceed customer needs. Qualcomm Software Engineers collaborate with systems, hardware, architecture, test engineers, and other teams to design system-level software solutions and obtain information on performance requirements and interfaces. Minimum Qualifications: Bachelor's degree in Engineering, Information Systems, Computer Science, or related field and 4+ years of Software Engineering or related work experience. ORMaster's degree in Engineering, Information Systems, Computer Science, or related field and 3+ years of Software Engineering or related work experience. ORPhD in Engineering, Information Systems, Computer Science, or related field and 2+ years of Software Engineering or related work experience. 2+ years of work experience with Programming Language such as C, C++, Java, Python, etc.Qualcomm is a company of inventors that unlocked 5G ushering in an age of rapid acceleration in connectivity and new possibilities that will transform industries, create jobs, and enrich lives. But this is just the beginning. It takes inventive minds with diverse skills, backgrounds, and cultures to transform 5Gs potential into world-changing technologies and products. This is the Invention Age - and this is where you come in. GENERAL SUMMARY As a SoC RAS and Manageability Controller FW Developer, you are responsible for working with a team on the enablement of the SoC and Platform management solution for Qualcomm's upcoming products. You will collaborate internally to help define and implement embedded firmware to manage the error, debug and telemetry management features for the Qualcomm SoCs across many verticals. You will also participate in various other aspects of the management controller firmware development, including power management, boot, RAS, debug, security and runtime services. In SoC Management Controller FW developer role you will be working closely both with the hardware and firmware development teams. You will have an opportunity to engage with third parties on enablement of new platforms, as well as providing input to our SoC and platform architects on future designs. Role and Responsibilities Drive the complete firmware development lifecycle through design, development, debug and verification, in pre-silicon and post-silicon environments. Develop core firmware stack, utilizing and RTOS, that provides the essential services and frameworks to various algorithms running on the power management controller. Assist in defining Reliability, Availability and Serviceability solutions for future generation of Qualcomm CPUs. Actively engage with open source communities to contribute support for processor architectures, device drivers, and firmware features Collaborate with team members and other teams on silicon and platform bring-up, debug and diagnostics. Assist in identifying skills requirements and in hiring engineers, Preferred Qualifications BS/BEng in Electrical, Computer Engineering, or Computer Science (or equivalent professional experience) with 8 years experience in embedded firmware development Familiarity with Error reporting and manageability requirements on a datacenter server platform. Familiarity with ARM RAS specification, ARM CPU RAS extensions, and Software components (SDEI, APEI, UEFI CPER) specifications is preferred. Self-starter who takes initiative and brings technical leadership Proficient writing and debugging C and assembly Driver development experience in RTOS or OS Kernel environments Demonstrated development experience with embedded OSes such as Zephyr, eCos, uC/OS or FreeRTOS Experience developing and debugging in pre-silicon environments including simulators and FPGA emulation Experience defining interprocessor communication interfaces between embedded controllers and the platform Familiarity with ARM v8 architecture. Development experience for a ARMV8 platform is a plus. Physical Requirements Frequently transports between offices, buildings, and campuses up to mile. Frequently transports and installs equipment up to 5 lbs. Performs required tasks at various heights (e.g., standing or sitting). Monitors and utilizes computers and test equipment for more than 6 hours a day. Continuous communication which includes the comprehension of information with colleagues, customers, and vendors both in person and remotely. Minimum Qualifications Education: Bachelors - Computer Science, Bachelors - Engineering, Bachelors - Information Systems Work Experiences: 4+ years experience with Programming Language such as C, C++, Java, Python, etc. ,8+ years of Software Engineering or related work experience
Posted Date not available
4.0 - 9.0 years
5 - 13 Lacs
hyderabad
Work from Office
JD: Experience in C bare-metal firmware development for IP testing and validation. • Knowledge of AMD SoC architectures: Versal ACAP, Zynq UltraScale+ MPSoCs • Hands-on experience on hardware platform bring-up and low-level debugging. • Familiar with using U-Boot, root file system, and device tree on AMD Xilinx hardware platforms. please share your resume at pooja.thatikonda@mirafra.com
Posted Date not available
4.0 - 9.0 years
5 - 13 Lacs
hyderabad
Work from Office
JD: Experience in C bare-metal firmware development for IP testing and validation. • Knowledge of AMD SoC architectures: Versal ACAP, Zynq UltraScale+ MPSoCs • Hands-on experience on hardware platform bring-up and low-level debugging. • Familiar with using U-Boot, root file system, and device tree on AMD Xilinx hardware platforms. please share your resume at pooja.thatikonda@mirafra.com
Posted Date not available
5.0 - 8.0 years
5 - 9 Lacs
mumbai
Work from Office
Hands experience in Verification of Software for Medical devices from beginning of NPI/NPD (Complete design life cycle) Good in Analyzing SW requirements (embedded/firmware etc) for testability. Must have hands on experience in writing Verification plan, Verification Protocols, Testing and Generating Verification Test Results/Reports. Have hands on experience on standards like IEC60601-1-8, review the applicable requirements and hands on experience in executing pre-compliance and formal complicable tests with internal team and external labs. Have good knowledge on Software Development Life Cycle process as per IEC 62304 and ISO 14971 & Software Test Life Cycle. Identify issues from verification, log the issues in tools like JIRA or ClearQuest, Drive defect control board, review with cross functional team and verify the resolutions. Hands on experience with the Test Environment- test tools (Oscilloscope, multi meters, pressure guages, sensors,flow meters etc). Must have experience in verification of Embedded firmware/software. Have Experience in Software reliability demonstration and Automation testing. Have collaboration with Internal team and external team to bring best practices. Working experience in Ventilator or Anesthesia or Dialysis machine products will be an advantage. Working knowledge of MTLAB, LabView and NI will be an advantage. Health care or Medical equipment - Verification & Validation.
Posted Date not available
4.0 - 9.0 years
16 - 20 Lacs
bengaluru
Work from Office
Job Area: Engineering Group, Engineering Group > Software Engineering General Summary: As a leading technology innovator, Qualcomm pushes the boundaries of what's possible to enable next-generation experiences and drives digital transformation to help create a smarter, connected future for all. As a Qualcomm Software Engineer, you will design, develop, create, modify, and validate embedded and cloud edge software, applications, and/or specialized utility programs that launch cutting-edge, world class products that meet and exceed customer needs. Qualcomm Software Engineers collaborate with systems, hardware, architecture, test engineers, and other teams to design system-level software solutions and obtain information on performance requirements and interfaces. Minimum Qualifications: Bachelor's degree in Engineering, Information Systems, Computer Science, or related field and 4+ years of Software Engineering or related work experience. ORMaster's degree in Engineering, Information Systems, Computer Science, or related field and 3+ years of Software Engineering or related work experience. ORPhD in Engineering, Information Systems, Computer Science, or related field and 2+ years of Software Engineering or related work experience. 2+ years of work experience with Programming Language such as C, C++, Java, Python, etc.Qualcomm is a company of inventors that unlocked 5G ushering in an age of rapid acceleration in connectivity and new possibilities that will transform industries, create jobs, and enrich lives. But this is just the beginning. It takes inventive minds with diverse skills, backgrounds, and cultures to transform 5Gs potential into world-changing technologies and products. This is the Invention Age - and this is where you come in. GENERAL SUMMARY As a SoC RAS and Manageability Controller FW Developer, you are responsible for working with a team on the enablement of the SoC and Platform management solution for Qualcomm's upcoming products. You will collaborate internally to help define and implement embedded firmware to manage the error, debug and telemetry management features for the Qualcomm SoCs across many verticals. You will also participate in various other aspects of the management controller firmware development, including power management, boot, RAS, debug, security and runtime services. In SoC Management Controller FW developer role you will be working closely both with the hardware and firmware development teams. You will have an opportunity to engage with third parties on enablement of new platforms, as well as providing input to our SoC and platform architects on future designs. Role and Responsibilities Drive the complete firmware development lifecycle through design, development, debug and verification, in pre-silicon and post-silicon environments. Develop core firmware stack, utilizing and RTOS, that provides the essential services and frameworks to various algorithms running on the power management controller. Assist in defining Reliability, Availability and Serviceability solutions for future generation of Qualcomm CPUs. Actively engage with open source communities to contribute support for processor architectures, device drivers, and firmware features Collaborate with team members and other teams on silicon and platform bring-up, debug and diagnostics. Assist in identifying skills requirements and in hiring engineers, Preferred Qualifications BS/BEng in Electrical, Computer Engineering, or Computer Science (or equivalent professional experience) with 8 years experience in embedded firmware development Familiarity with Error reporting and manageability requirements on a datacenter server platform. Familiarity with ARM RAS specification, ARM CPU RAS extensions, and Software components (SDEI, APEI, UEFI CPER) specifications is preferred. Self-starter who takes initiative and brings technical leadership Proficient writing and debugging C and assembly Driver development experience in RTOS or OS Kernel environments Demonstrated development experience with embedded OSes such as Zephyr, eCos, uC/OS or FreeRTOS Experience developing and debugging in pre-silicon environments including simulators and FPGA emulation Experience defining interprocessor communication interfaces between embedded controllers and the platform Familiarity with ARM v8 architecture. Development experience for a ARMV8 platform is a plus. Physical Requirements Frequently transports between offices, buildings, and campuses up to mile. Frequently transports and installs equipment up to 5 lbs. Performs required tasks at various heights (e.g., standing or sitting). Monitors and utilizes computers and test equipment for more than 6 hours a day. Continuous communication which includes the comprehension of information with colleagues, customers, and vendors both in person and remotely. Minimum Qualifications Education: Bachelors - Computer Science, Bachelors - Engineering, Bachelors - Information Systems Work Experiences: 4+ years experience with Programming Language such as C, C++, Java, Python, etc. ,8+ years of Software Engineering or related work experience
Posted Date not available
8.0 - 12.0 years
15 - 25 Lacs
chennai, bengaluru
Work from Office
Job Title : Embedded Firmware Developer Location: Chennai or Bangalore Experience : 8-12 Years Preferred Industrial background : Actuation or similar industrial products Designation: Sr. Engr / Asst. Manager Embedded Firmware Design Job Summary (Areas of Responsibility) • Design and Development of Embedded Firmware required for Actuation Products Lead and execute strategically important NPI and R&D projects Develop Software Requirements Spec from Product specification Design of Software architecture Software integration testing, Unit testing, Test automation Design Verification using various tools Work closely with the Hardware and Mechanical teams for product integration and testing Work with the Global Engineering teams to ensure quality of deliverables Create and maintain project schedules and timelines Comply with the companys design and development process Maintain appropriate documentation related to project and product firmware Core Competencies • Excellent competency in firmware development using C/C++, firmware architecture Development of software using structured software design methodologies Good understanding about 32 bit microcontrollers (STM/NXP/Microchip) and peripheral devices Knowledge in analysis tools like Bus Master / Dock light Awareness and understanding of MISRA guidelines Communication protocols viz Modbus, Profibus, FF Bus, Ethernet IP, USB, UART, SPI, I2C, CAN etc Awareness about Wireless technologies (HART, ZIGBEE, NFC, LORA etc) Device Drivers Experience in developing Motor drivers LCD/LED display GUI design, data analysis and presentation RTOS and Data Structures Design of IoT devices and systems Knowledge on Firmware design requirements for product EMI/EMC certification Key Skills & Relevant Experience Skills Good verbal and written communication skills Great attention to detail and problem-solving abilities Team Player able to work with others easily Disciplined work ethic Ability to lead a team Experience • Experience in embedded firmware design, integration and testing • Some exposure to industrial Actuators design is preferred • Exposure to working in a Global environment will be added advantage • Exposure to IoT • Basic knowledge and ability to handle and understand hardware design and PCB design
Posted Date not available
8.0 - 12.0 years
25 - 30 Lacs
pune, bengaluru
Work from Office
Software Principal Engineer SKILLS Strong knowledge of programming languages; operating systems; firmware; BIOS; device drivers; databases; system, network, operating system, and application administration; embedded software/firmware; tools and utilities Able to code/debug and guide others to code/debug flexible, scalable and complex programs, using either written or verbal design specifications Experience with multiple programming languages, databases, operating systems, tools and hardware platforms. able to achieve mastery of new tools, languages and operating systems with some training and on-the-job experience Familiarity with several Software Lifecycle and Quality assurance methodologies Able to define and document how a specific Software Lifecycle and Quality assurance method will be used for specific projects Able to participate and add value in all phases of software development: feasibility, design, implementation, integration, test and delivery Strong knowledge of software architectures and applications Strong knowledge of network file systems, disk-based file systems and/or file virtualization technology Strong knowledge of replication technologies and server migration strategies Strong knowledge of server, storage, networking and client technologies Strong knowledge of Scrum events, artifacts and roles. Able to coach others in Scrum framework practice. Software Principal Engineer The Software Engineering team delivers next-generation software application enhancements and new products for a changing world. Working at the cutting edge, we design and develop software for platforms, peripherals, applications and diagnostics all with the most advanced technologies, tools, software engineering methodologies and the collaboration of internal and external partners. Join us to do the best work of your career and make a profound social impact as a Software Principal Engineer on our Software Engineering Team in Pune and Bangalore. What youll achieve As a Software Principal Engineer, you will be responsible for developing sophisticated systems and software basis the customers business goals, needs and general business environment creating software solutions. You will: Design and develop various Data-domain features. Participate in design collaboration, code reviews, exercising development tools and processes Take part in the full software development life cycle including development, testing, continuous delivery and support. Refine designs based on feedback, testing, and evolving requirements. Work with cross-functional teams, partners, and customers. Ensure seamless integration of components and technologies. Be an active member of an agile team. Take the first step towards your dream career Every Dell Technologies team member brings something unique to the table. Heres what we are looking for with this role: Essential Requirements 8+ years of experience with design, implement and develop file system/security software using C/C++, python, go language. Strong Analytical, Debugging and troubleshooting skills using tools like GDB. Good understanding of Data Structures and Algorithms Proficiency and hands-on coding experience in C/C++. In-depth experience in Linux user-space development, multithreading and storage solutions. Practical expertise in troubleshooting, debugging, performance optimization, and refactoring of complex systems. Extensive knowledge of Object-oriented design, data structures and algorithms. Hands-on experience with Python and Bash scripting, CI/CD, automation. Agile-based development experience. Knowledge in Kubernetes, Hypervisors, and Containers Desirable Requirements: Experience in data security, working knowledge with crypto libraries, encryption methods.Hands-on experience with gdb micros, core file analysis, and makefiles, REST API. Experience in storage domain background, file system internals, and sharing technologies (algorithms, protocols, file systems). Experience of working in Scale out filesystems is a plus.
Posted Date not available
3.0 - 8.0 years
7 - 11 Lacs
bengaluru
Work from Office
Project Role : Silicon Firmware & Embedded Engineer Project Role Description : Write the software that powers and operates a silicon chip. Develop pre-silicon firmware and software with a direct interface to hardware. Integrate and develop C tests/APIs and software build flow. Perform design and code reviews. Test and validate product implementations. Must have skills : Embedded C Good to have skills : NAMinimum 3 year(s) of experience is required Educational Qualification : 15 years full time education Summary :As a Silicon Firmware & Embedded Engineer, you will be responsible for writing the software that powers and operates a silicon chip. Your role involves developing pre-silicon firmware and software with a direct interface to hardware, integrating and developing C tests/APIs and software build flow, performing design and code reviews, and testing and validating product implementations. Roles & Responsibilities:- Expected to perform independently and become an SME.- Required active participation/contribution in team discussions.- Contribute in providing solutions to work related problems.- Develop and write pre-silicon firmware for silicon chips.- Integrate and develop C tests/APIs for hardware interface.- Conduct design and code reviews for software implementations.- Test and validate product implementations for quality assurance.- Collaborate with cross-functional teams to ensure project success. Professional & Technical Skills: - Must To Have Skills: Proficiency in Embedded C.- Strong understanding of hardware-software interface.- Experience with software build flow and testing methodologies.- Hands-on experience in developing firmware for silicon chips.- Knowledge of C tests/APIs integration.- Familiarity with design and code review processes. Additional Information:- The candidate should have a minimum of 3 years of experience in Embedded C.- This position is based at our Bengaluru office.- A 15 years full time education is required. Qualification 15 years full time education
Posted Date not available
3.0 - 8.0 years
7 - 11 Lacs
bengaluru
Work from Office
We are seeking highly motivated DFT engineer to be part of Hardware team. Join a great team of engineering professionals who are involved in development, validation, and delivery of DFT patterns for IBM’s chip design team. As a member of DFT team, you will be required but not restricted to insertion, pattern generation, simulation, validation, characterization, delivery to TAE, IBM’s Hardware Bring-up and Silicon Debug Required education Bachelor's Degree Preferred education Master's Degree Required technical and professional expertise Hands-on experience in DFT on complex designs involving scan insertion, compression, MBIST, ATPG, simulations and IP integration and validation. Proven expertise in analysing and resolving DRCs/TSVs . Hands-on experience in pattern generation for various fault models, pattern retargeting and debugging techniques to address low coverage issues. Hands-on experience with Gate-Level DFT verification, both with and without timing annotations. Well versed with industry standard test techniques and advanced DFT features like SSN, IJTAG, IEEE 1500, Boundary scan , LBIST and STA constraint delivery . Hands on experience on industry standard tools used for DFT features Proficiency in scripting languages such as TCL, Perl or Python to automate design and testing tasks. Worked with cross functional teams like design, STA & tester teams for ensuring top quality of DFT deliverables and DFT support and hand offs. Excellent analytical and problem-solving skills, with a keen attention to detail. Strong communication and collaboration skills, with the ability to work effectively within cross-functional teams Preferred technical and professional experience Fundamentals in micro controller architecture, embedded firmware, functional verification and RTL design Experience working with ATE engineers for silicon bring up, silicon debug and validation. Experience in Asics/processor flow and post silicon validation
Posted Date not available
2.0 - 6.0 years
7 - 11 Lacs
bengaluru
Work from Office
We are seeking highly motivated DFT engineer to be part of Hardware team. Join a great team of engineering professionals who are involved in development, validation, and delivery of DFT patterns for IBM’s chip design team. As a member of DFT team, you will be required but not restricted to insertion, pattern generation, simulation, validation, characterization, delivery to TAE, IBM’s Hardware Bring-up and Silicon Debug Required education Bachelor's Degree Preferred education Master's Degree Required technical and professional expertise Hands-on experience in DFT on complex designs involving scan insertion, compression, MBIST, ATPG, simulations and IP integration and validation. Proven expertise in analysing and resolving DRCs/TSVs . Hands-on experience in pattern generation for various fault models, pattern retargeting and debugging techniques to address low coverage issues. Hands-on experience with Gate-Level DFT verification, both with and without timing annotations. Well versed with industry standard test techniques and advanced DFT features like SSN, IJTAG, IEEE 1500, Boundary scan , LBIST and STA constraint delivery . Hands on experience on industry standard tools used for DFT features Proficiency in scripting languages such as TCL, Perl or Python to automate design and testing tasks. Worked with cross functional teams like design, STA & tester teams for ensuring top quality of DFT deliverables and DFT support and hand offs. Excellent analytical and problem-solving skills, with a keen attention to detail. Strong communication and collaboration skills, with the ability to work effectively within cross-functional teams Preferred technical and professional experience Fundamentals in micro controller architecture, embedded firmware, functional verification and RTL design Experience working with ATE engineers for silicon bring up, silicon debug and validation. Experience in Asics/processor flow and post silicon validation
Posted Date not available
4.0 - 7.0 years
6 - 9 Lacs
bengaluru
Work from Office
Hands-on software development, implementing new modules, helping the team in debugging and resolving critical issues on need basis. New Product Development (NPD) team, the candidate should be able to perform the Please contact us at -8050070079
Posted Date not available
Upload Resume
Drag or click to upload
Your data is secure with us, protected by advanced encryption.
Browse through a variety of job opportunities tailored to your skills and preferences. Filter by location, experience, salary, and more to find your perfect fit.
We have sent an OTP to your contact. Please enter it below to verify.
Accenture
73564 Jobs | Dublin
Wipro
27625 Jobs | Bengaluru
Accenture in India
22690 Jobs | Dublin 2
EY
20638 Jobs | London
Uplers
15021 Jobs | Ahmedabad
Bajaj Finserv
14304 Jobs |
IBM
14148 Jobs | Armonk
Accenture services Pvt Ltd
13138 Jobs |
Capgemini
12942 Jobs | Paris,France
Amazon.com
12683 Jobs |