Get alerts for new jobs matching your selected skills, preferred locations, and experience range.
3.0 years
0 Lacs
Mumbai Metropolitan Region
Remote
This job is with Morningstar, an inclusive employer and a member of myGwork – the largest global platform for the LGBTQ+ business community. Please do not contact the recruiter directly. The Role : In this role, you will collaborate with Team Leader, Scrum Master, data analysts, and developers to build technology solutions for Morningstar platforms. You should have hands on experience on Core Java and Python. Also, you should have experience in component-based architectures and create scalable, flexible technical solutions. You would create new components, support existing systems, study their enterprise complexities and develop/implement better systems with modern software development practices. Developing good understanding of existing systems on other platforms and its database is a beginning step. Responsibilities Design, develop, and maintain scalable ETL pipelines for data extraction, transformation, and loading. Write efficient SQL queries and stored procedures to manage and manipulate large datasets in SQL Server. Implement data validation and integrity checks to ensure accuracy across all pipelines. Collaborate with business stakeholders and business analysts to deliver data solutions that support business goals. Work with Python to automate data workflows and integrate with third-party systems. Optimize data pipelines for performance, scalability, and cost-efficiency. Troubleshoot, debug, and resolve issues related to data processing. Leverage AWS services for cloud-based ETL processes (e.g., S3, Lambda, Glue) and storage solutions. Collaborate in an agile environment with product managers, data analysts, and engineering teams. Must Have Skills: These are the most important skills, qualities, etc. that we'd like for this role. 3-5 years of experience in Data Engineering or related field. Proficiency in Python for building data pipelines and automation scripts. Strong experience with SQL Server including complex queries, stored procedures, and optimization techniques. Expertise in ETL processes and data modeling. Knowledge of version control and CI/CD pipelines for data projects. Good to have - Java, Spring and MongoDB Qualifications Bachelor's degree in computer science, Information Technology, or a related field. Strong analytical and problem-solving skills. Good communication and teamwork abilities. Morningstar's hybrid work environment gives you the opportunity to work remotely and collaborate in-person each week. We've found that we're at our best when we're purposely together on a regular basis, at least three days each week. A range of other benefits are also available to enhance flexibility as needs change. No matter where you are, you'll have tools and resources to engage meaningfully with your global colleagues. I10_MstarIndiaPvtLtd Morningstar India Private Ltd. (Delhi) Legal Entity Show more Show less
Posted 4 days ago
5.0 years
0 Lacs
Bengaluru, Karnataka, India
On-site
Arcadis is the world's leading company delivering sustainable design, engineering, and consultancy solutions for natural and built assets. We are more than 36,000 people, in over 70 countries, dedicated to improving quality of life. Everyone has an important role to play. With the power of many curious minds, together we can solve the world’s most complex challenges and deliver more impact together. Qualifications and Experience: BS/MS or BCA/MCA or bachelor’s/master’s degree in Math, Statistics, Computer Science, Engineering, or another technical field. Experience required: 5+ years. Experience in Data engineering with Python\R Experience in SQL, MS-SQL Server, or other relational databases. Azure Cloud Service experience\AWS\Google Could Service (Optional) API automated Data extraction pipeline Experience in developing and maintaining integrated visualization reports in PowerBI (Optional) Experience with software deployment project lifecycle phases - requirements gathering, planning, testing, delivery, enhancements, support. Experience in Project Management (Preferred) Exceptional communication skills and fluency in English (professional level). Key Skills / Attributes Exceptional analytical and problem-solving skills, strong attention to detail, organization skills, and work ethic. Self-motivated and team-oriented, with the ability to work successfully both independently and within a team. Ability to balance and address new challenges as they arise and an eagerness to take ownership of tasks. Drive to succeed and grow a career in the Project/Program Management Principal Duties & Key Responsibilities Key Duties & Responsibilities This role will require to work independently or in team to solve data problems with unstructured data. Collaborate with other team members and other disciplines to deliver project requirements. Work independently to complete allocated activities to meet timeframe and quality objectives and meeting or exceeding client expectations. Develop effective materials for clients, making sure that their messages are clearly conveyed through the appropriate channel, using the language that is suitable for the intended audience and readers, and would induce the desired response. Actively contribute to Arcadis’ Global Communities of Practice relevant to Project Management, Data Visualization, and Power Platform, through knowledge shares and case study presentations. Actively contribute to the Digital Advisory community of practice, through development of integrated solutions that embed GEC capabilities into core advisory business. Data Engineering, Management, and Visualisation Experience in manipulating, transforming, and analysing data sets that are raw, large, and complex. Demonstrates ability to plan, gather, analyse, and document user and business information. Incorporates, integrates, and interfaces technical knowledge with business / systems requirements. Understanding of all aspects of an implementation project including, but not limited to planning, analysis and design, configuration, development, conversions, system testing, cutover and production support. Produce written deliverables for requirement specifications and support documentation: process mapping, meeting minutes, glossaries, data dictionary, technical design, system testing and implementation activities. Collect and organize data, data warehouse reports, spreadsheets, and databases for analytical reporting. Strong on database concepts, data modelling, stored procedures, complex query writing, performance optimization of SQL queries. Experience in creating automated data extraction pipeline from various sources like API, Databases in various formats. A problem solving, solution driven mindset – with the ability to innovate within the constraints of a project – time/cost/quality. Why Arcadis? We can only achieve our goals when everyone is empowered to be their best. We believe everyone's contribution matters. It’s why we are pioneering a skills-based approach, where you can harness your unique experience and expertise to carve your career path and maximize the impact we can make together. You’ll do meaningful work, and no matter what role, you’ll be helping to deliver sustainable solutions for a more prosperous planet. Make your mark, on your career, your colleagues, your clients, your life and the world around you. Together, we can create a lasting legacy. Join Arcadis. Create a Legacy. Our Commitment to Equality, Diversity, Inclusion & Belonging We want you to be able to bring your best self to work every day which is why equality and inclusion is at the forefront of all our activities. Our ambition is to be an employer of choice and provide a great place to work for all our people. We are an equal opportunity employer; women, minorities, and people with disabilities are strongly encouraged to apply. We are dedicated to a policy of non-discrimination in employment on any basis including race, caste, creed, colour, religion, sex, age, disability, marital status, sexual orientation, and gender identity. Show more Show less
Posted 4 days ago
25.0 years
5 - 8 Lacs
Hyderābād
Remote
Senior Data Migration Developer **Cette description est disponible en anglais seulement** What is Equisoft? Equisoft is a global provider of advanced insurance and investment digital solutions, recognized as a valued partner by over 250 of the world’s leading financial institutions. UCT (Universal Conversion Technology), a subsidiary of Equisoft Inc., is a global leader in data migrations with over 25 years of experience, reducing risk and costs associated with legacy modernization initiatives. UCT specializes in high-volume, complex data migration projects that frequently involve multiple source and target systems. We have a solid reputation in the life insurance industry through a unique combination of highly skilled staff, proven methodology and exclusive technology tools. Why Choose Us? With 950+ employees currently, we are a stable and growing organization that offers progressive career advancement and fosters a supportive environment. If that’s not enough, then check out these other perks below: Hiring Location: India You are welcome to work 100% remote Full-time Role Benefits from day 1: medical, dental, provident fund, telemedicine program, etc. (India) Flexible hours Number of hours per week: 40 Educational Support (Udemy, LOMA Courses, Equisoft University, Tuition Reimbursement) Role: The Senior Data Migration Developer reports to the Director, Architecture & System Integration and works closely with the Data Migration team. The incumbent will be responsible for analyzing large datasets of varying formats for varying project requirements. Support complete Data migration phases like Requirement analysis, data analysis, extraction of source data, audit and transformation of data, loading transformed files into new target system and reconciliation processes Your Day with UCT: Gather requirements, design, develop, and enhance a custom data transformation program in either COBOL or Java. Support the setup and ongoing programming needs for conversion projects Ability to review and understand source and target system file structures to support project team needs during conversion projects Ability to use remote networking: monitor, enhance & maintain customer code and scripts Contribute to Data Mapping, code generation application and transformation tasks Use industry standard file transfer software Communicate with UCT employees and business users (Project Managers, Business Analysts’ and Subject Matter Experts) to refine business requirements for customer data transformation programs Create technical documentation Requirements: Technical Bachelor of Science in Computer Science, Engineering, IT or technical field Minimum of 5-8 years of relevant and technical experience in data migration development Troubleshooting skills: Draw on wide systems experience to troubleshoot communication, network, and database issues in Windows, Unix/Linux, and mainframe environments Working knowledge of Life Insurance & Annuities Policy Administration Systems COBOL programming: MF COBOL or Fujitsu COBOL, JCL, VSAM, COPYBOOKS, DB2/SQL OR/AND Java programming: J2EE, OO design/analysis, coding, unit testing, Oracle/MS SQL server SQL Agile Methodology and Lifecycle (SDLC) methodology SQL and general database concepts Strong Working knowledge of mainframe and PC based tools & languages Soft skills Strong sense of organization and prioritizing Analytical and problem-solving skills Ability to communicate, write and synthesize information Ability to multi-task in a rapid-paced environment Team spirit, tact, diplomacy, autonomy, rigor, and discipline Mentoring the team members by providing Functional, Technical and Process related guidance Nice to Haves: IF COBOL experienced, acquaintance of Java programming is an asset. For Java Developers, acquaintance of COBOL programming is an asset Information/data analysis and data modeling XML technologies and standards (XLS, DTD, XSD, etc.) Azure DevOps, JIRA & Confluence experience Experience with database administration & design: Oracle and SQL Server Oracle, SQL Server, and DB2 Database platforms Application Server (WebSphere, WebLogic) Insurance/Financial Domain Certifications (like FLMI) from LOMA or equivalent Institutes Equisoft is committed to creating a diverse environment and is proud to be an equal opportunity employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, gender, gender identity or expression, sexual orientation, national origin, genetics, disability, age, or veteran status. We thank you for your interest in our company and we guarantee that all submitted applications will be considered. Only those whose applications are selected will be contacted for interview purposes. By submitting your application, you consent to Equisoft collecting, using & storing your personal data in order to apply for a job and for Equisoft to analyze your application. Due to the nature of its products and services, Equisoft will perform thorough background checks prior to confirming one’s employment.
Posted 4 days ago
6.0 years
2 - 4 Lacs
Hyderābād
On-site
Date: Jun 5, 2025 Job Requisition Id: 61501 Location: Hyderabad, TG, IN Hyderabad, IN Hyderabad, TG, IN Hyderabad, IN YASH Technologies is a leading technology integrator specializing in helping clients reimagine operating models, enhance competitiveness, optimize costs, foster exceptional stakeholder experiences, and drive business transformation. At YASH, we’re a cluster of the brightest stars working with cutting-edge technologies. Our purpose is anchored in a single truth – bringing real positive changes in an increasingly virtual world and it drives us beyond generational gaps and disruptions of the future. We are looking forward to hire SAP GRC Professionals in the following areas : Minimum of 6 years of experience in S/4Hana, Fiori Understanding of Global templates and rollouts Org value grouping and restrictions Requirement gathering on localized roles and build SOD analysis, clean design, and ruleset update if required. Unit testing, FUT/UAT support, and issue fixing. Experience in designing, and customizing roles with relevant Catalogs/Groups/Apps Working knowledge of cloud and on-premises environments Working knowledge of ITGC and SOX controls, monitoring, and extraction of data Experience with defining system controls and developing procedures. Strategic thinking, problem-solving, and decision-making capabilities Strong communication skills with employees at all levels including the ability to translate distinct terminology differences between and for technical teams and business teams. At YASH, you are empowered to create a career that will take you to where you want to go while working in an inclusive team environment. We leverage career-oriented skilling models and optimize our collective intelligence aided with technology for continuous learning, unlearning, and relearning at a rapid pace and scale. Our Hyperlearning workplace is grounded upon four principles Flexible work arrangements, Free spirit, and emotional positivity Agile self-determination, trust, transparency, and open collaboration All Support needed for the realization of business goals, Stable employment with a great atmosphere and ethical corporate culture
Posted 4 days ago
12.0 years
0 Lacs
Hyderābād
On-site
Overview: WHAT YOU DO AT AMD CHANGES EVERYTHING We care deeply about transforming lives with AMD technology to enrich our industry, our communities, and the world. Our mission is to build great products that accelerate next-generation computing experiences – the building blocks for the data center, artificial intelligence, PCs, gaming and embedded. Underpinning our mission is the AMD culture. We push the limits of innovation to solve the world’s most important challenges. We strive for execution excellence while being direct, humble, collaborative, and inclusive of diverse perspectives. AMD together we advance_ Responsibilities: MTS SILICON DESIGN ENGINEER THE ROLE: The position will involve working with a very experienced physical design team of Server SOC and is responsible for delivering the physical design of tiles and FullChip to meet challenging goals for frequency, power and other design requirements for AMD next generation processors in a fast-paced environment on cutting edge technology. THE PERSON: The ideal candidate has significant experience in industry, with good attitude who seeks new challenges and has good analytical and problem-solving skills. You have excellent communication and presentation skills, demonstrated through technical publications, presentations, trainings, executive briefings, etc. You are highly adept at collaboration among top-thinkers and engineers alike, ready to mentor and guide, and help to elevate the knowledge and skills of the team around you. KEY RESPONSIBILITIES: RTL to GDS2 flow Handling Floor-plan, Physical Implementation of Power-plan, Synthesis, Placement, CTS, , Routing, Extraction, Timing Closure (Tile level, Full chip), Physical Verification (DRC & LVS), Crosstalk Analysis, EM/IR Handling different PNR tools - Synopsys FusionCompiler, Cadence Innovus, PrimeTime, StarRC, Mentor Graphics Calibre, Apache Redhawk Identify and implement opportunities for improving PPA PREFERRED EXPERIENCE: 12+ years of professional experience in physical design, preferably with high performance designs. Experience in automated synthesis and timing driven place and route of RTL blocks for high speed datapath and control logic applications. Experience in automated design flows for clock tree synthesis, clock and power gating techniques, scan stitching, design optimization for improved timing/power/area, and design cycle time reduction. Experience in floorplanning, establishing design methodology, IP integration, checks for logic equivalence, physical/timing/electrical quality, and final signoff for large IP delivery Strong experience with tools for logic synthesis, place and route, timing analysis, and design checks for physical and electrical quality, familiarity with tools for schematics, layout, and circuit/logic simulation Experience in STA, full chip timing Versatility with scripts to automate design flow. Proficiency in scripting language, such as, Perl and Tcl. Strong communication skills, ability to multi-task across projects, and work with geographically spread out teams Experience in FinFET & Dual Patterning nodes such as 16/14/10/7/5nm/3nm Excellent physical design and timing background. Good understanding of computer organization/architecture is preferred. Strong analytical/problem solving skills and pronounced attention to details. ACADEMIC CREDENTIALS: Qualification: Bachelors or Masters in Electronics/Electrical Engineering #LI-PK2 Qualifications: Benefits offered are described: AMD benefits at a glance. AMD does not accept unsolicited resumes from headhunters, recruitment agencies, or fee-based recruitment services. AMD and its subsidiaries are equal opportunity, inclusive employers and will consider all applicants without regard to age, ancestry, color, marital status, medical condition, mental or physical disability, national origin, race, religion, political and/or third-party affiliation, sex, pregnancy, sexual orientation, gender identity, military or veteran status, or any other characteristic protected by law. We encourage applications from all qualified candidates and will accommodate applicants’ needs under the respective laws throughout all stages of the recruitment and selection process.
Posted 4 days ago
4.0 years
0 - 0 Lacs
Delhi
On-site
Environmental PCR Laboratory Technician Key Responsibilities PCR Experimentation Prepare PCR reactions, including DNA/RNA extraction and template preparation. Execute PCR assays, gel electrophoresis, and quantitative PCR (qPCR) experiments. Accurately document experimental procedures and results. Equipment Operation and Maintenance Operate and maintain PCR instruments, thermocyclers, and related equipment. Perform routine calibration and troubleshooting of laboratory equipment. Ensure that equipment and work areas are clean and organized. Sample Management Handle and process biological samples, including sample preparation and storage. Maintain sample inventory and tracking records. Assist in sample accessioning and labeling. Quality Control Implement quality control measures to ensure the reliability and accuracy of PCR results. Participate in proficiency testing and validation studies. Report any anomalies or deviations promptly. Collaboration Collaborate with researchers and laboratory staff on various molecular biology projects. Assist in the analysis and interpretation of PCR data. Communicate effectively within the team and contribute to a collaborative research environment. Laboratory Safety Adhere to laboratory safety protocols and practices. Handle hazardous materials and chemicals in a safe and responsible manner. Maintain awareness of safety guidelines and promote a culture of safety. Qualifications Bachelor's degree in molecular biology, genetics, or a related field. Previous experience in a molecular biology or PCR laboratory is preferred. Proficiency in PCR techniques, primer design, and experimental setup. Familiarity with laboratory equipment and instrumentation. Strong attention to detail and record-keeping skills. Effective communication and teamwork abilities. Commitment to laboratory safety and adherence to protocols. Willingness to learn and adapt to new techniques and technologies. Proficient in English Good written and verbal communication Experience with Microsoft office tools (Excel / Word) Ability to work in teams Ability to work under pressure Customer oriented attitude Ready to travel at short notice and conduct med-term abroad assignments Good organizational skills This PCR Laboratory Technician role offers an exciting opportunity to work in a dynamic research environment and contribute to the advancement of molecular biology research. If you are a dedicated and detail-oriented individual with a passion for scientific discovery, we encourage you to apply for this position. This is a new role with therefore a new challenge and a great opportunity to work in a truly international environment and in a highly-motivated and friendly team. Job Type: Full-time Pay: ₹30,000.00 - ₹50,000.00 per month Schedule: Night shift Rotational shift Experience: PCR Laboratory Technician: 4 years (Required) Language: Excellent English (Required) Work Location: In person
Posted 4 days ago
8.0 years
5 - 10 Lacs
Gurgaon
On-site
Every day, tens of millions of people come to Roblox to explore, create, play, learn, and connect with friends in 3D immersive digital experiences– all created by our global community of developers and creators. At Roblox, we're building the tools and platform that empower our community to bring any experience that they can imagine to life. Our vision is to reimagine the way people come together, from anywhere in the world, and on any device. We're on a mission to connect a billion people with optimism and civility, and looking for amazing talent to help us get there. A career at Roblox means you'll be working to shape the future of human interaction, solving unique technical challenges at scale, and helping to create safer, more civil shared experiences for everyone. About the role: The Roblox Operating System (ROS) team is responsible for the foundational technology and services that power all experiences on Roblox. This critical team ensures a seamless, performant, and reliable platform for our global community of users and developers. You will be the first Product Manager hire for our India office, reporting to Theresa Johnson, the Head of Product for ROS. You will play a pivotal role in building and enhancing our data analytics capabilities within the Roblox operating system, collaborating closely with the India-based Data Engineering team, which includes an Engineering Manager, three engineers, and multiple data scientists. This is a full time onsite role based out of our Gurugram office. Shift Time: 2:00PM - 10:30PM IST (Cabs will be provided) You will: Collaborate with data engineering and product engineering teams in India to build integrated analytics tooling. Develop cross-functional data visualization and reporting capabilities. Implement advanced insights extraction methodologies. Develop self-service data exploration tools. Integrate data analytics capabilities into Roblox operating system. Ensure seamless data flow across organizational platforms. Implement cutting-edge data infrastructure solutions. Build a scalable data registry that will allow us to understand, register, classify and govern data across all of ROS. This will involve partnering with data engineers to build and maintain robust data pipelines integrating diverse sources like HR systems (Workday, Greenhouse), collaboration tools (Slack, Zoom), business applications (Pigment, Zendesk), and internal Roblox applications. Partner with Data Scientists to process and transform data into actionable insights, developing systems that generate builder development signals and promote positive behaviors. Contribute to achieving key outcomes such as reducing data access request resolution time by 60%, increasing self-service data exploration adoption by 75%, and achieving 99.9% data pipeline reliability. You have: A Bachelor's degree or equivalent experience in Computer Science, Computer Engineering, or a similar technical field. 8+ years of product management experience, with a focus on data platforms, analytics, or developer tools. Strong understanding of data infrastructure, data warehousing, and ETL processes, including experience with data governance tools focusing on discovery, cataloging, metadata management, classification, and quality assurance. Proven ability to work autonomously and define product scope in ambiguous environments. Experience collaborating with engineering and data science teams to deliver impactful data products. Excellent communication and interpersonal skills, with the ability to articulate complex technical concepts to diverse audiences. You are: Someone with strong product intuition of what we should be doing rather than just following instructions. Highly organized with a strong sense of urgency. A collaborative team player who can navigate cross-functional partnerships effectively. Adaptable and comfortable working in a fast-paced, evolving environment. A strategic thinker with a bias for action and a focus on delivering measurable results. Roles that are based in our San Mateo, CA Headquarters are in-office Tuesday, Wednesday, and Thursday, with optional in-office on Monday and Friday (unless otherwise noted). Roblox provides equal employment opportunities to all employees and applicants for employment and prohibits discrimination and harassment of any type without regard to race, color, religion, age, sex, national origin, disability status, genetics, protected veteran status, sexual orientation, gender identity or expression, or any other characteristic protected by federal, state or local laws. Roblox also provides reasonable accommodations for all candidates during the interview process.
Posted 4 days ago
0 years
0 - 0 Lacs
India
On-site
Job Title: Beautician Job Description: The Beautician will be responsible for delivering a full range of beauty services to clients with a focus on quality, hygiene, and customer satisfaction. The ideal candidate should be well-versed in modern beauty treatments and capable of customizing services as per individual skin and grooming needs. Key Responsibilities: Skin Care Services Perform advanced facials, including Hydra Facial , clean-ups, and exfoliation treatments. Provide skincare consultations and recommend products based on skin type and condition. Conduct steaming, blackhead/whitehead extraction, and face massages. Makeup Application Provide makeup services for various occasions: bridal, party, fashion, and casual. Understand face shapes, skin tones, and makeup techniques (contouring, highlighting, etc.). Maintain and sanitize makeup tools and brushes. Nail Services Perform manicures, pedicures, gel nails, acrylic extensions , and nail art. Maintain hygiene standards and sterilize tools after each use. Provide recommendations for nail care and maintenance. Hair Removal Services Perform waxing, threading, and tweezing with proper technique and hygiene. Offer consultation on pre- and post-care to prevent skin irritation. Hair Services (Optional) Basic hair styling, blow-drying, straightening, curling. Recommend suitable hair products if trained. Client Consultation Assess client needs and recommend suitable treatments or services. Build client trust through expert advice and friendly communication. Sanitation & Hygiene Ensure work area and tools are clean, sanitized, and organized at all times. Follow safety and hygiene protocols as per salon standards. Product Knowledge Stay updated on latest trends, tools, and products in skincare, makeup, and nails. Upsell beauty products and treatments when appropriate. Customer Service Maintain a welcoming and professional demeanor. Handle client feedback and resolve any concerns promptly. Team Coordination Work effectively with other beauticians and staff members. Participate in ongoing training and workshops to enhance skills. Job Types: Full-time, Permanent Pay: ₹15,000.00 - ₹22,000.00 per month Schedule: Day shift Supplemental Pay: Commission pay Performance bonus Language: English (Preferred) Work Location: In person
Posted 4 days ago
3.0 - 5.0 years
0 Lacs
Navi Mumbai
Remote
The Role : In this role, you will collaborate with Team Leader, Scrum Master, data analysts, and developers to build technology solutions for Morningstar platforms. You should have hands on experience on Core Java and Python. Also, you should have experience in component-based architectures and create scalable, flexible technical solutions. You would create new components, support existing systems, study their enterprise complexities and develop/implement better systems with modern software development practices. Developing good understanding of existing systems on other platforms and its database is a beginning step. Responsibilities : Design, develop, and maintain scalable ETL pipelines for data extraction, transformation, and loading. Write efficient SQL queries and stored procedures to manage and manipulate large datasets in SQL Server. Implement data validation and integrity checks to ensure accuracy across all pipelines. Collaborate with business stakeholders and business analysts to deliver data solutions that support business goals. Work with Python to automate data workflows and integrate with third-party systems. Optimize data pipelines for performance, scalability, and cost-efficiency. Troubleshoot, debug, and resolve issues related to data processing. Leverage AWS services for cloud-based ETL processes (e.g., S3, Lambda, Glue) and storage solutions. Collaborate in an agile environment with product managers, data analysts, and engineering teams. Must Have Skills: These are the most important skills, qualities, etc. that we’d like for this role. 3-5 years of experience in Data Engineering or related field. Proficiency in Python for building data pipelines and automation scripts. Strong experience with SQL Server including complex queries, stored procedures, and optimization techniques. Expertise in ETL processes and data modeling. Knowledge of version control and CI/CD pipelines for data projects. Good to have – Java, Spring and MongoDB Qualifications : Bachelor’s degree in computer science, Information Technology, or a related field. Strong analytical and problem-solving skills. Good communication and teamwork abilities. Morningstar’s hybrid work environment gives you the opportunity to work remotely and collaborate in-person each week. We’ve found that we’re at our best when we’re purposely together on a regular basis, at least three days each week. A range of other benefits are also available to enhance flexibility as needs change. No matter where you are, you’ll have tools and resources to engage meaningfully with your global colleagues. I10_MstarIndiaPvtLtd Morningstar India Private Ltd. (Delhi) Legal Entity
Posted 4 days ago
5.0 years
0 Lacs
Navi Mumbai
Remote
T he Area: Morningstar is a leading global provider of independent investment insights. Our core competencies are data, research, and design, and we employ each of these to create products that clearly convey complex investment information. Our mission is to empower investor success and everything we do at Morningstar is in the service of the investor. Reporting to the Audit Committee of the Board of Directors, the purpose of the Global Audit and Assurance (GAA) function is to strengthen Morningstar’s ability to create, protect, and sustain value by providing the Company with organizationally independent, risk-based, and objective assurance and consulting services to evaluate and improve Morningstar’s governance, risk management and control processes. The Role: Morningstar’s GAA function seeks a highly motivated Senior Internal Auditor who thrives on new experiences and challenges. As a Senior Internal Auditor, you will play an integral role in evaluating the company’s business and information technology processes and effectiveness of internal controls. You will have the opportunity to work on a variety of operational, compliance, financial, and information technology reviews as well as evaluate the effectiveness of internal controls over external financial reporting as part of the company’s Sarbanes-Oxley Section 404 compliance activities. Based in Mumbai, the Senior Internal Auditor may be required to travel to a number of domestic and international locations in support of our annual internal audit plan. You will work closely with all levels of management across the organization, recommending changes to strengthen controls for increased efficiencies and reduced risks. The Senior Internal Auditor will have the opportunity to utilize and reference world-class audit tools and audit methodologies in the performance of his or her duties. Key Responsibilities Planning and execution of financial, operational, compliance; and information technology related reviews. Perform walkthroughs of complex business and information technology processes and test the design and effectiveness of internal controls. Execute audit procedures in accordance with audit objectives and document work in accordance with professional standards. Preparation of observations and recommendations for corrective action and documentation of work Effectively apply the COSO internal control framework, COBIT IT governance framework, IIA Global Internal Audit Standards and US GAAP accounting principles. Assist audit management with the execution of continuous risk assessment and audit plan development. Serve as an independent advisor and business partner with management. Requirements Action-oriented, self-starter with strong verbal and written communication skills. Comfortable working both independently or in teams and working within a complex environment. Ability to diagnose problems, determine root causes, and recommend solutions to complex challenges. Undergraduate degree in accounting, business, information technology, management information systems, or a related field. Minimum of 5 years of internal or external audit experience. Experience in working for a Big 4 or Tier-Two public accounting firm highly preferred. Experience in performing data analytics and using data extraction and analysis software Professional accreditation (e.g., CIA, CPA, CISA) highly preferred. Understanding of the technical aspects of accounting and financial reporting. Experience in performing multiple projects and working with varying team members. Flexibility/ adaptability to work a non-standard schedule as needed to accommodate various time zones where some process owners are located. Willingness to travel to domestic and international offices. Morningstar is an equal opportunity employer Morningstar’s hybrid work environment gives you the opportunity to work remotely and collaborate in-person each week. We’ve found that we’re at our best when we’re purposely together on a regular basis, at least three days each week. A range of other benefits are also available to enhance flexibility as needs change. No matter where you are, you’ll have tools and resources to engage meaningfully with your global colleagues. I10_MstarIndiaPvtLtd Morningstar India Private Ltd. (Delhi) Legal Entity
Posted 4 days ago
12.0 years
1 - 1 Lacs
Navi Mumbai
On-site
About JK Botanicals: Jairamdass Khushiram have two herbal manufacturing units JK Botanicals Pvt. Ltd (Processing Unit) and SA Herbal Bioactives LLP (Extraction Unit),which are globally certified units with BRCGS , ISO, GMP, Kosher, Halal & Organic Certification Website - https://www.jairamdass.com/ Website - https://www.saherbalbioactives.com/ Company Video :- https://youtu.be/SnDkfko3Cgs Location: Head Office, Vashi Navi mumbai (with regular factory coordination Taloja & Indore) Reports To: Managing Director / CEO Post - Chief Operating Officer (COO) The COO will lead overall business operations from the Head Office, working closely with Sales, HR, and Purchase teams while ensuring strong coordination with factories for smooth production, dispatch, and customer service. The role focuses on operational efficiency, cross-functional alignment, and service excellence . Key Responsibilities Oversee day-to-day operations across Head Office departments Align factory output with sales and customer needs Coordinate procurement, production, logistics, and admin Lead performance improvement and cost optimization initiatives Monitor KPIs and report operational metrics to the MD Ensure compliance with quality, safety, and regulatory standards Guide and mentor functional heads across department Qualification MBA/PGDM preferred (Operations / Supply Chain) Experience 12–20 years in operations, with at least 5+ years in a senior leadership role Experience in multi-location or factory-based business Preferred Industry Manufacturing (FMCG, Agro, Nutraceuticals, Food Processing, Pharma, Chemicals, Engineering, etc.) Companies dealing with B2B or D2C supply chains are ideal. Experience in mid to large-scale manufacturing operations is highly preferred. Interested Please email resume with current ctc, expected ctc and notice period on hr@jairamdass.com Job Type: Full-time Pay: ₹100,000.00 - ₹150,000.00 per month Benefits: Health insurance Leave encashment Provident Fund Schedule: Day shift Supplemental Pay: Performance bonus Work Location: In person
Posted 4 days ago
3.0 years
1 - 4 Lacs
Bengaluru
On-site
Job Description: Position Overview: We are seeking a skilled FLEXCUBE Reports Developer with expertise in Qlik sense to join our team. The ideal candidate will be responsible for designing, developing, and maintaining reports and dashboards that provide valuable insights from FLEXCUBE core banking data. Key Responsibilities: Report Development: Design and create interactive reports and dashboards using Qlik Sense to visualize FLEXCUBE data for business users. FLEXCUBE 14.7 Backend Tables: FLEXCUBE data model knowlege is must Data Modelling: Develop data models and relationships within Qlik Sense to ensure accurate representation of FLEXCUBE data. Customization: Customize reports to meet specific business requirements and ensure they align with industry best practices. Performance Optimization: Optimize report performance for efficient data retrieval and rendering. Data Integration: Integrate data from various sources into Qlik Sense reports, including FLEXCUBE and other data repositories. Data Security: Implement data security and access controls within Qlik Sense to protect sensitive information. User Training: Provide training and support to end-users to enable them to effectively utilize Qlik Sense reports. Documentation: Maintain documentation for reports, data models, and best practices. Mastery of the FLEXCUBE 14.7 backend tables and data model is essential. Qualifications: Bachelor's degree in Computer Science, Information Technology, or a related field. 3 to 7 Years of proven experience in developing reports and dashboards using Qlik Sense. Familiarity with FLEXCUBE core banking systems. Familiarity with OLAP Cubes, Data Marts, Datawarehouse Proficiency in data modelling and data visualization concepts. Strong SQL skills for data extraction and transformation. Excellent problem-solving and analytical skills. Strong communication and collaboration abilities. Banking or financial industry experience is beneficial. Qlik Sense certifications are a plus. Additional Information: This role offers an opportunity to work with cutting-edge reporting and analytics tools in the banking sector. The candidate should be prepared to work closely with business stakeholders and contribute to data-driven decision-making. Candidates with a strong background in FLEXCUBE reports development and Qlik Sense are encouraged to apply. We are committed to providing a collaborative and growth-oriented work environment. Career Level - IC2 Diversity and Inclusion: An Oracle career can span industries, roles, Countries and cultures, giving you the opportunity to flourish in new roles and innovate, while blending work life in. Oracle has thrived through 40+ years of change by innovating and operating with integrity while delivering for the top companies in almost every industry. In order to nurture the talent that makes this happen, we are committed to an inclusive culture that celebrates and values diverse insights and perspectives, a workforce that inspires thought leadership and innovation. Oracle offers a highly competitive suite of Employee Benefits designed on the principles of parity, consistency, and affordability. The overall package includes certain core elements such as Medical, Life Insurance, access to Retirement Planning, and much more. We also encourage our employees to engage in the culture of giving back to the communities where we live and do business. At Oracle, we believe that innovation starts with diversity and inclusion and to create the future we need talent from various backgrounds, perspectives, and abilities. We ensure that individuals with disabilities are provided reasonable accommodation to successfully participate in the job application, interview process, and in potential roles. to perform crucial job functions. That’s why we’re committed to creating a workforce where all individuals can do their best work. It’s when everyone’s voice is heard and valued that we’re inspired to go beyond what’s been done before.
Posted 4 days ago
8.0 - 12.0 years
8 - 8 Lacs
Bengaluru
On-site
We are seeking an experienced FLEXCUBE Implementation Specialist to join our dynamic team. The ideal candidate will have a strong background in FLEXCUBE core banking software and significant experience working with FLEXCUBE Studio for customization and extension of the platform. Career Level - IC3 Key Responsibilities: FLEXCUBE Implementation: Lead and participate in end-to-end implementation projects of Oracle FLEXCUBE core banking solutions. Collaborate with cross-functional teams to ensure successful project delivery. FLEXCUBE Studio Customization: Utilize FLEXCUBE Studio to design, develop, and customize user interfaces, workflows, and business rules to meet specific business requirements. Integration: Configure and manage integrations between FLEXCUBE and other banking systems, ensuring seamless data flow and functionality. Data Migration: Oversee data migration activities, including mapping, extraction, transformation, and loading (ETL) processes to migrate data into FLEXCUBE. Testing and Quality Assurance: Develop and execute test plans and test cases to ensure the reliability and accuracy of FLEXCUBE implementations and customizations. Documentation: Maintain comprehensive documentation of FLEXCUBE configurations, customizations, and best practices. User Training: Provide training and support to end-users and stakeholders to ensure efficient utilization of FLEXCUBE features and functionalities. Troubleshooting: Diagnose and resolve technical issues and system anomalies promptly. Mastery of the FLEXCUBE 14.7 backend tables and data model is essential. Qualifications: Bachelor's degree in Computer Science, Information Technology, or a related field. 8 to 12 Years of proven experience in implementing and customizing Oracle FLEXCUBE core banking solutions. Strong expertise in FLEXCUBE Studio for UI customization, workflow design, and business rule development. Proficiency in Oracle Database, SQL and PLSQL. Familiarity with Java programming is a plus. Excellent problem-solving skills and attention to detail. Strong communication and interpersonal skills. Ability to work effectively in cross-functional teams and handle multiple projects simultaneously. Banking or financial industry experience is must. Additional Information: This role offers an opportunity to work on challenging and innovative projects in the banking sector. The candidate should be prepared for occasional travel to client sites and collaborate with diverse teams. Candidates with a proven track record in FLEXCUBE implementation and FLEXCUBE Studio customization are encouraged to apply . We are committed to providing a supportive and collaborative work environment that fosters professional growth and development.
Posted 4 days ago
0 years
0 Lacs
Bengaluru East, Karnataka, India
Remote
We are seeking a skilled Python and SQL Developer to join our dynamic team. The ideal candidate will have a strong background in Python programming and SQL database management. Develop and maintain Python-based applications and scripts. Write efficient SQL queries for data extraction and manipulation. Collaborate with cross-functional teams to gather requirements and deliver solutions. Familiarity with Linux operating systems. Basic understanding of cloud platforms (e.g., AWS, Azure, Google Cloud). Knowledge of Model Quantization and Pruning Experience playing a Data Scientist role Solid development experience in Data Science Arch. Experience in Application Architecture & Design of Java Based Applications Good Knowledge of Architecture and related technologies Experience in Integration Technologies and Architecture Working knowledge of frontend and database technologies Excellent Analytical and Debugging Skills Familiarity with Agile & DevSecOps, Log Analytics, APM Experience in leading the teams technically Experience in requirements gathering, analysis & design and estimation Good communication and articulation skills In-depth knowledge of design issues and best practices Solid understanding of object-oriented programming Familiar with various design, architectural patterns and software development process. Experience with both external and embedded databases Creating database schemas that represent and support business processes Implementing automated testing platforms and unit tests Good verbal and written communication skills Ability to communicate with remote teams in effective manner High flexibility to travel Soft Skills Good verbal & written communication skills – articulate value of AI to business, project managers & other team members Ability to break complex problem into smaller problems and create hypothesis Innovation and experimentation Show more Show less
Posted 4 days ago
0 years
0 Lacs
Bengaluru
On-site
The Job Excellent programming skills in one or more of (C/C++, Java, Go or similar languages) Scripting Skills (Python, Ruby, or, Bash, etc) Debugging and troubleshooting problems in test and in production Experience with open protocol standards such as Diameter and/or REST interfaces Experience using git/github for version control Knowledge of data modeling, machine learning algorithms, and data science techniques, including the majority of the following topics: clustering, linear regression, classification, decision trees, ensemble methods, boosting, cross validation, model evaluation, feature extraction and selection, hyperparameter tuning is an Asset Knowledge with Linux system configuration, Network Functions Virtualization, and Software Defined Networking is an asset The Skills You Bring Knowledge of web services B.Eng. or M.Eng (or equivalent degree) degree in Engineering or Computer Science What you can expect from us Flexible working hours, hybrid work environment (occasionally may be required to take afterhours call) Career development and advancement opportunities Fast-paced office environment At AppLogic Networks we understand the importance of work-life balance and strives to create a supportive environment that allows employees to excel in their careers without sacrificing their personal lives. AppLogic Networks believes that a positive and fun work environment enhances productivity and job satisfaction. The company organizes team building activities, social events, and other initiatives to promote a sense of camaraderie among employees. AppLogic Networks offers competitive salaries and a comprehensive benefits package, including health insurance, and other perks to ensure the well-being and financial security of its employees. Thank you for your interest in AppLogic Networks. We will contact you if your skills and experience are a match for the role. Otherwise, we’ll keep your resume in case there is a match with future opportunities. AppLogic Networks is committed to supporting a culture of inclusion, diversity and accessibility to employment for all. We are proud to operate as an equal opportunity employer. The AppLogic Networks Global Talent Acquisition Team Behaviors Preferred Team Player: Works well as a member of a group Motivations Preferred Flexibility: Inspired to perform well when granted the ability to set your own schedule and goals
Posted 4 days ago
4.0 years
40 - 47 Lacs
Bengaluru
Remote
We are looking for a talented AI Engineer with 4 + years of experience to join our growing team. In this role, you'll focus on building and refining conversational AI and speech analytics systems , driving innovation in how we process, analyze, and understand voice interactions. Role Objectives: - Design and implement advanced conversational AI solutions - Develop intelligent agent assist features - Optimize real-time natural language understanding and generation - Enhance customer interaction quality through AI technologies Key Responsibilities: - Design and develop machine learning models for intent recognition - Create context-aware dialogue management systems - Implement real-time inference optimization techniques - Build robust natural language understanding pipelines - Develop and maintain speech recognition and synthesis components - Conduct performance testing and model evaluation - Collaborate with product and engineering teams Required Technical Skills: - Advanced proficiency in Python - Deep learning frameworks (TensorFlow/PyTorch/JAX) - Natural Language Processing techniques - Machine learning model development - Real-time system design - Experience with transformer models - Knowledge of dialogue systems architecture - Knowledge of distributed training strategies - Knowledge of model compression techniques Expertise in: - Conversational AI - Machine Learning - Speech recognition - Intent/entity extraction - Context tracking - Dynamic response generation Preferred Background: - Bachelor's or Master's in Computer Science, AI, Computational Linguistics - 4+ years professional experience in conversational AI Preferred Qualifications: - Published research or significant contributions in NLP/AI domains Soft Skills: - Strong problem-solving abilities - Excellent communication skills - Collaborative team player - Innovative thinking - Adaptability in rapidly evolving AI landscape Job Type: Full-time Pay: ₹4,000,000.00 - ₹4,700,000.00 per year Benefits: Flexible schedule Health insurance Leave encashment Paid sick time Paid time off Provident Fund Work from home Schedule: Evening shift Fixed shift Monday to Friday Weekend availability Supplemental Pay: Overtime pay Performance bonus Yearly bonus Work Location: In person
Posted 4 days ago
3.0 years
3 - 5 Lacs
Coimbatore
On-site
Job Requirements Roles and Responsibilities Prepare and develop civil and structural design drawings for oil & gas facilities using AutoCAD and AVEVA E3D. Generate and update 3D models of civil and structural components including foundations, equipment supports, piperacks, platforms, trenches, and roads. Extract 2D deliverables such as GA drawings, sections, details, and reinforcement layouts from the E3D model. Coordinate with piping, mechanical, electrical, and instrumentation teams for layout consistency and interdisciplinary alignment. Support layout and planning of site development including grading, drainage, road access, and utility routing. Ensure compliance with project specifications, design codes (IS, ACI, BS, Eurocodes), and client standards. Perform regular clash checks and model reviews in E3D; resolve civil-related conflicts in coordination with other disciplines. Prepare quantity take-offs (BOQs) and support estimations based on 3D models and design drawings. Work Experience Required Skill Sets Proficiency in AutoCAD (2D and basic 3D) for civil/structural drafting and detailing. Hands-on experience with AVEVA E3D for 3D civil modeling, drawing extraction, and clash coordination. Sound knowledge of civil and structural design for industrial facilities (e.g., foundation types, concrete detailing, structural framing). Ability to read and develop civil layouts, foundation plans, RC detailing, and site infrastructure drawings. Experience working with site grading plans, stormwater layouts, and underground services. Understanding of civil construction workflows, materials, and detailing standards. Good to Have Skills Familiarity with STAAD.Pro or Tekla Structures for structural analysis or detailing. Experience with point cloud data integration into E3D for brownfield projects. Knowledge of geotechnical drawings, survey data, and topographic interpretation. Understanding of BIM processes and document management systems Exposure to international projects using US, British, or Euro civil codes. Experience with offshore civil design (e.g., module foundations, jackets, topsides) is a plus. Qualifications Diploma or Bachelor's Degree in Civil Engineering / Structural Design / Drafting Technology. 3+ years of experience in civil/structural drafting and design in the oil & gas or heavy industrial sector. Experience in EPC environments, preferably with multinational projects.
Posted 4 days ago
4.0 - 6.0 years
2 - 4 Lacs
Chennai
On-site
Job Title: Consultant - SAP ADM (DSP) Grade - C3 YOE - 4 to 6 Years Introduction to role: Are you ready to make a difference in the world of pharmaceuticals? As a DSP (Data Stewardship Platform) Consultant, you'll be part of a global leader in the industry, headquartered in the United Kingdom and operating in over 100 countries. Your expertise in DSP and SAP Data integration, particularly with SAP PP / QM / PM Data Objects, will be crucial in driving data excellence and innovation. Accountabilities: What you'll do: Data Analysis and Mapping: Assist in analysing source data and mapping it to SAP target structures, ensuring all mappings meet business requirements. Data Extraction, Transformation, and Loading (ETL): Support the ETL process by assisting in the development and execution of data transformation scripts and tools. Testing and Validation: Participate in validating data before and after migration to ensure accuracy and completeness, assisting with reconciliation and error resolution. Documentation: Help in documenting data migration processes, including data mapping, transformation rules, and migration results. Collaboration: Work closely with business and technical teams to understand data requirements, identify gaps, and suggest improvements. Issue Resolution: Assist in solving issues that arise during data migration, working towards effective solutions under the mentorship of senior consultants. Continuous Learning: Stay updated with SAP data migration tools and methodologies to improve skills and contribute effectively to migration projects. To work in shifts and flexible work schedules. Essential Skills/Experience: Hands-on working knowledge on DSP covering dspMigrate, dspConduct, Collect, Assemble, Common, Integrate, dspCompose. Designing appropriate data migration solutions including transformation routines. Design and Build DSP staging area. Load source files into staging area. Design, Build and Test transformations. Build data quality rules and scorecards. Generate data in target templates for load. Preload and post load validation of migration results, handle dropouts and advise on data cleansing requirements. Strong SQL & PL SQL skills. Good knowledge on SAP FI & CO (GL, AP, AR, Assets, Banking, Cost Centre, Profit Centre, Internal Orders etc.) Master Data & transactional objects and their dependencies. Good Knowledge on Mass Data Uploads in SAP using LSMW and S/4 Data Migration Cockpit. Good analytical skills to troubleshoot and resolve data migration issues effectively. Strong attention to detail and problem-solving abilities. Good communication and interpersonal skills. Desirable Skills/Experience: Worked in a hybrid environment with a mix of internal and external resources across geographical locations. Certification in DSP. Awareness about SAP Activate, JIRA, SAP Solution Manager & Testing Tools. At AstraZeneca, your work directly impacts patients by transforming our ability to develop life-changing medicines. We empower the business to perform at its peak by combining cutting-edge science with leading digital technology platforms. Join us at a pivotal moment as we become a digital and data-led enterprise. With a focus on innovation and collaboration, we drive cross-company change to disrupt the industry. Here, you can explore new technologies, experiment with leading-edge solutions, and shape your own path with support all the way. Ready to make an impact? Apply now to join our team!
Posted 4 days ago
0 years
2 - 7 Lacs
Chennai
On-site
Role: ETL Tester Work Mode: Hybrid Work timings: 2pm to 11pm Location: Chennai & Hyderabad Primary Skills: ETL Examining the business needs to determine the testing technique by automation testing. Maintenance of present regression suites and test scripts is an important responsibility of the tester. The testers must attend agile meetings for backlog refinement, sprint planning, and daily scrum meetings. Testers to execute regression suites for better results. Must provide results to developers, project managers, stakeholders, and manual testers. Develop and execute test plans, test cases, and test scripts for ETL processes. Validate data extraction, transformation, and loading workflows Analyze test results and provide detailed reports to stakeholders. Automate repetitive testing tasks to improve efficiency. Strong SQL base to validate the transformations. Skill Proficiency Level expected Strong ETL Testing Strong SQL - In depth understanding of SQL queries and applying it in QA Testing. About Virtusa Teamwork, quality of life, professional and personal development: values that Virtusa is proud to embody. When you join us, you join a team of 27,000 people globally that cares about your growth — one that seeks to provide you with exciting projects, opportunities and work with state of the art technologies throughout your career with us. Great minds, great potential: it all comes together at Virtusa. We value collaboration and the team environment of our company, and seek to provide great minds with a dynamic place to nurture new ideas and foster excellence. Virtusa was founded on principles of equal opportunity for all, and so does not discriminate on the basis of race, religion, color, sex, gender identity, sexual orientation, age, non-disqualifying physical or mental disability, national origin, veteran status or any other basis covered by appropriate law. All employment is decided on the basis of qualifications, merit, and business need.
Posted 4 days ago
4.0 - 5.0 years
5 - 10 Lacs
Chennai
On-site
Fabric COE Designation: Assistant Manager - BI & Visualization Experience: 4 to 5 years Location: Chennai, Tamil Nadu, India (CHN) Job Description: Develop, design, and maintain Power BI reports and dashboards to meet business requirements. Implement data cleaning, transformation, and ETL processes to prepare data for reporting and analytics. Design and develop data pipelines for efficient data extraction, transformation, and loading Responsibilities: To lead and own solution development across domain for various industries To solve client problems on visualization and end-to-end analytics To support development and delivery for various Entities based on the need Skills: 4 to 8 years in the BI & Visualization domain Proficient in SQL Programming, Power BI / Power App / Power Automate, Python, Azure | Nice to have Proficient in SQL Programming, Power BI / Power App / Power Automate, Python, Azure | Nice to have, Proficient in SQL Programming Power BI / Power App / Power Automate, Python, Azure | Nice to have Develop, design, and maintain Power BI reports and dashboards to meet business requirements, Implement data cleaning, transformation, and ETL processes to prepare data for reporting and analytics, Design and develop data pipelines for efficient data extraction, transformation, and loading Job Snapshot Updated Date 13-06-2025 Job ID J_3692 Location Chennai, Tamil Nadu, India Experience 4 - 5 Years Employee Type Permanent
Posted 4 days ago
0 years
5 - 9 Lacs
Chennai
On-site
Role: ETL Tester Work Mode: Hybrid Work timings: 2pm to 11pm Location: Chennai & Hyderabad Primary Skills: ETL Examining the business needs to determine the testing technique by automation testing. Maintenance of present regression suites and test scripts is an important responsibility of the tester. The testers must attend agile meetings for backlog refinement, sprint planning, and daily scrum meetings. Testers to execute regression suites for better results. Must provide results to developers, project managers, stakeholders, and manual testers. Develop and execute test plans, test cases, and test scripts for ETL processes. Validate data extraction, transformation, and loading workflows Analyze test results and provide detailed reports to stakeholders. Automate repetitive testing tasks to improve efficiency. Strong SQL base to validate the transformations. Skill Proficiency Level expected Strong ETL Testing Strong SQL - In depth understanding of SQL queries and applying it in QA Testing. About Virtusa Teamwork, quality of life, professional and personal development: values that Virtusa is proud to embody. When you join us, you join a team of 27,000 people globally that cares about your growth — one that seeks to provide you with exciting projects, opportunities and work with state of the art technologies throughout your career with us. Great minds, great potential: it all comes together at Virtusa. We value collaboration and the team environment of our company, and seek to provide great minds with a dynamic place to nurture new ideas and foster excellence. Virtusa was founded on principles of equal opportunity for all, and so does not discriminate on the basis of race, religion, color, sex, gender identity, sexual orientation, age, non-disqualifying physical or mental disability, national origin, veteran status or any other basis covered by appropriate law. All employment is decided on the basis of qualifications, merit, and business need.
Posted 4 days ago
0 years
2 - 6 Lacs
Chennai
On-site
Date live: 06/13/2025 Business Area: Finance Area of Expertise: Finance Contract: Permanent Reference Code: JR-0000048670 As part of the Finance team, you'll help shape Barclays' financial strategy through accounting, budgeting, financial forecasting, and analysis. Your expertise will support management, cash and debt management, and insurance, driving the financial health and success of the organisation. See your commute Join Barclays as Analyst - Reporting CoE role where to provide financial expertise and support to specific business units or departments within the organisation, and act as a liaison between the finance function and various business units, helping to bridge the gap between financial data and business decisions. At Barclays, we don't just anticipate the future - we're creating it. To be successful in this role, you should have below skills: Proficiency in Microsoft Excel, financial modeling, and analytical tools (SAC/SAP/SAP BW) Strong attention to detail with the ability to manage multiple tasks simultaneously Excellent written and verbal communication skills Ability to work collaboratively in a team environment Basic understanding of banking and financial products Some other highly valued skills may include below: Strong organizational skills and ability to meet deadlines Familiarity with databases and data extraction techniques Month end close / consolidation / reporting Stakeholder management Flexible and adaptable You may be assessed on the key critical skills relevant for success in role, such as risk and controls, change and transformation, business acumen strategic thinking and digital and technology, as well as job-specific technical skills. This role is based in our Chennai office. Purpose of the role To provide financial expertise and support to specific business units or departments within the organisation, and act as a liaison between the finance function and various business units, helping to bridge the gap between financial data and business decisions. Accountabilities Development and implementation of business unit financial strategies, plans and budgets, using insights to evaluate the financial implications of strategic initiatives and recommend appropriate actions. Development of financial models to forecast future performance, assess investment opportunities, and evaluate financial risks for business units, and to analyse the impact of business decisions on financial performance and provision of recommendations. . Cross functional collaboration to provide financial insights and guidance to business unit stakeholders. Identification of opportunities and implementation of financial process improvements that streamline financial operations. Support to business units in identification, assessment, and mitigation of financial risks, including provision of training and guidance to business units on financial risk management and compliance practices. Analysis and presentation of financial data to provide insights into business performance, identify trends, and support decision-making. Analyst Expectations To perform prescribed activities in a timely manner and to a high standard consistently driving continuous improvement. Requires in-depth technical knowledge and experience in their assigned area of expertise Thorough understanding of the underlying principles and concepts within the area of expertise They lead and supervise a team, guiding and supporting professional development, allocating work requirements and coordinating team resources. If the position has leadership responsibilities, People Leaders are expected to demonstrate a clear set of leadership behaviours to create an environment for colleagues to thrive and deliver to a consistently excellent standard. The four LEAD behaviours are: L – Listen and be authentic, E – Energise and inspire, A – Align across the enterprise, D – Develop others. OR for an individual contributor, they develop technical expertise in work area, acting as an advisor where appropriate. Will have an impact on the work of related teams within the area. Partner with other functions and business areas. Takes responsibility for end results of a team’s operational processing and activities. Escalate breaches of policies / procedure appropriately. Take responsibility for embedding new policies/ procedures adopted due to risk mitigation. Advise and influence decision making within own area of expertise. Take ownership for managing risk and strengthening controls in relation to the work you own or contribute to. Deliver your work and areas of responsibility in line with relevant rules, regulation and codes of conduct. Maintain and continually build an understanding of how own sub-function integrates with function, alongside knowledge of the organisations products, services and processes within the function. Demonstrate understanding of how areas coordinate and contribute to the achievement of the objectives of the organisation sub-function. Make evaluative judgements based on the analysis of factual information, paying attention to detail. Resolve problems by identifying and selecting solutions through the application of acquired technical experience and will be guided by precedents. Guide and persuade team members and communicate complex / sensitive information. Act as contact point for stakeholders outside of the immediate function, while building a network of contacts outside team and external to the organisation. All colleagues will be expected to demonstrate the Barclays Values of Respect, Integrity, Service, Excellence and Stewardship – our moral compass, helping us do what we believe is right. They will also be expected to demonstrate the Barclays Mindset – to Empower, Challenge and Drive – the operating manual for how we behave. (Opens in new tab or window) (Opens in new tab or window) (Opens in new tab or window) Reasonable adjustment Our purpose Equal opportunities Right to work statement This is Barclays Chennai Barclays Chennai is one of our key strategic locations. It’s home to over 5,000 talented and passionate Barclays colleagues, across Finance, HR, operational and technology functions ensuring the bank’s technology and infrastructure runs smoothly. A workplace of the future Your wellbeing Your commute More than just a workplace Be you. Be valued. Belong. We celebrate the unique perspectives and experiences each individual brings, believing our differences make us stronger and drive success. You can learn more about our commitment to our colleague on our Inclusion and Opportunities page. Learn more Application Process Your application We’ll ask for information about you, your CV and cover letter. 1 Your assessment Covering your behaviours and ability at work. 2 Your interview Exploring your past experiences and skills. 3 Next step We’ll request additional information so that you can complete our screening process 4 Working flexibly We’re committed to providing a supportive and inclusive culture and environment for you to work in. This environment recognises and supports your personal needs, alongside the professional needs of our business. If you'd like to explore flexible working arrangements, please discuss this with the hiring manager. Your request will be reviewed in-line with the requirements of the role/business needs of the team. Hybrid working We have a structured approach to hybrid working, where colleagues work at an onsite location on fixed, ‘anchor’, days, as set by the business area. Please discuss the working pattern requirements for the role you are applying for with the hiring manager. Please note that working arrangements may be subject to change on reasonable notice to ensure we meet the needs of our business. Barclays is built on an international scale. Our geographic reach, our wide variety of functions, businesses, roles and locations reflect the rich diversity of our worldwide customer base. All of which means we offer incredible variety, depth and breadth of experience. And the chance to learn from a globally diverse mix of colleagues, including some of the very best minds in banking, finance, technology and business. Throughout, we’ll encourage you to embrace mobility, exploring every part of our operations as you build your career.
Posted 4 days ago
0 years
0 - 0 Lacs
Erode
On-site
Collect, clean, and validate data from multiple internal systems (ERP, CRM, etc.) to ensure accuracy and integrity. Design and maintain data structures and SQL queries to support regular and ad-hoc reporting needs. Monitor data flows and resolve issues related to inconsistencies, missing records, or integration errors. Create and maintain comprehensive reports, dashboards, and scorecards using Power BI, Tableau, and Excel, tailored to business requirements. Build interactive, automated dashboards that deliver actionable insights for key stakeholders across departments such as Sales, Finance, and Operations. Use Excel to develop financial and operational models, including pivot tables, charts, and macros for daily, weekly, and monthly reporting. Generate variance reports, trend analyses, and forecasting models to support strategic planning. Write complex SQL queries for efficient data extraction, aggregation, and transformation from relational databases. Optimize SQL queries to ensure high performance and minimal impact on system resources. Analyze data to uncover trends, patterns, and business opportunities. Provide data-driven insights and actionable recommendations to support strategic decision-making. Support senior management with predictive analytics and “what-if” scenario modeling using historical data. Collaborate closely with department heads to gather reporting requirements and translate business needs into effective technical solutions. Train and support end-users to ensure they can effectively interact with dashboards and reports. Identify and implement opportunities to improve reporting processes through automation and standardization. Ensure all data handling and reporting practices comply with organizational policies and data protection standards. Manage user access controls and permissions for sensitive reports and dashboards to maintain data security. Job Types: Full-time, Permanent Pay: ₹15,000.00 - ₹25,000.00 per month Benefits: Health insurance Leave encashment Provident Fund Schedule: Day shift Supplemental Pay: Yearly bonus Work Location: In person
Posted 4 days ago
7.0 years
5 - 7 Lacs
Noida
On-site
Serve as a strategic advisor (or consultant) to senior HR and business leaders, leveraging data-driven insights to influence workforce planning, talent strategies, and organizational effectiveness. Lead data management efforts, ensure data privacy and security protocols are followed, and ensure safekeeping of sensitive data. Support incoming requests, such as research, analysis of business need, problem solving, issue tracking, and resolution. Support and execute HR initiatives to help drive more data-based decision making among HR and business clients. Key Responsibilities Product Delivery: Use multiple systems to produce complex and detailed reporting/analytics in response to requests from business leaders or HR staff. Consult with requesters to verify business needs, clarify requirements, and enable data-driven decisions. Identify data source, develop and test queries, perform quality verification of data to ensure accuracy, and provide deliverables to requester within agreed time frame. Ensure all required levels of approval are obtained prior to processing and follow all security protocols for ensuring data privacy is maintained. Operational Efficiency: Translate reporting requirements into effective and impactful dashboards and reports that enable information sharing across the client enterprise. Identify opportunities to reduce cycle times and increase reporting efficiencies. Serve as subject matter expert for HR data and reporting. Organizational Support and Change: Support all HR related projects on behalf of Human Capital Insights. Identify key issues and collaborate with others to solve defined problems. Escalate issues as appropriate. Data Management and Governance: Identify data integrity issues through analysis and collaboration with HR Systems team. Define data audit procedures and requirements, design audit queries, perform scheduled audits, and analyze employee data within HRMS. Escalate all identified data or system issues to the appropriate person(s) for resolution. Independently troubleshoot and research system issues and data trends. Utilize statistical programming and data visualization software as necessary. People Leadership: Provide systems, programs, training, and guidance as needed for growth and development of team members. Mentor team members on technical and communication skills. Proactively share best practices and key learnings with HCI function. Act as a liaison across team, HR Business Partners, and HR COEs. Required Qualifications 7+ years of experience in HR analytics, with a strong track record of strategic consulting. Deep expertise in Workday HCM, including report writing, calculated fields, dashboards, and data extraction. Ability to translate complex business needs into Workday solutions. Proficient in advanced analytics techniques using tools such as Python, R or SQL. Experience with data visualization platforms like Power BI or Tableau to create executive-level dashboards. Experience in handling huge volumes of data and building models in excel using formulas/macros. Understand client requirements/problems, create reports, analyze data, study trends and metrics, and report a high level commentary/executive. Demonstrated ability to lead cross-functional projects and influence stakeholders at all levels. Demonstrated ability to manage multiple priorities, while maintaining high quality of work. Strong analytical, problem solving, and data storytelling skills. A strong willingness to learn new ideas, concepts, and skills. Preferred Qualifications Certification in Workday Reporting or Workday Pro (preferred). Knowledge of regulatory reporting and GDPR guidelines. About Our Company Ameriprise India LLP has been providing client based financial solutions to help clients plan and achieve their financial objectives for 125 years. We are a U.S. based financial planning company headquartered in Minneapolis with a global presence. The firm’s focus areas include Asset Management and Advice, Retirement Planning and Insurance Protection. Be part of an inclusive, collaborative culture that rewards you for your contributions and work with other talented individuals who share your passion for doing great work. You’ll also have plenty of opportunities to make your mark at the office and a difference in your community. So if you're talented, driven and want to work for a strong ethical company that cares, take the next step and create a career at Ameriprise India LLP. Ameriprise India LLP is an equal opportunity employer. We consider all qualified applicants without regard to race, color, religion, sex, genetic information, age, sexual orientation, gender identity, disability, veteran status, marital status, family status or any other basis prohibited by law. Full-Time/Part-Time Full time Timings (2:00p-10:30p) India Business Unit AWMPO AWMP&S President's Office Job Family Group Human Capital
Posted 4 days ago
1.0 years
0 - 0 Lacs
Noida
On-site
Job Summary: We are seeking a results-driven Email Marketing Executive with hands-on experience in lead generation through email campaigns, particularly targeting clients in the USA and Canada . The ideal candidate should have experience managing email lists of 400+ contacts , be skilled in using email marketing tools (like Mailchimp, Sendinblue, or Lemlist), and possess strong knowledge in data extraction tools to build and enrich prospect databases. The primary goal is to generate qualified leads for website development and design services. Key Responsibilities: Design and execute targeted B2B email campaigns focused on lead generation for website development and design. Manage and grow email databases ( 400+ active email IDs ) segmented by industry, location, and other criteria. Use email marketing tools (e.g., Mailchimp, Lemlist, Klaviyo, GMass, Woodpecker, etc.) to run automated drip campaigns and track performance. Extract and validate B2B data using tools like Apollo.io, ZoomInfo, Skrapp, Hunter.io, Lusha, Snov.io, etc. Personalize outreach to improve open rates and conversion. Analyze performance metrics (open rate, click-through rate, bounce rate, etc.) and continuously optimize campaigns. Use LinkedIn, cold emailing, and prospecting tools to reach decision-makers (CEOs, Marketing Heads, Founders, etc.). Maintain CRM records and pipeline management using tools like HubSpot, Zoho CRM, or Pipedrive . Research market trends and identify new opportunities in the US and Canadian markets. Required Skills and Qualifications: Minimum 1–5 years of experience in email marketing and lead generation , especially in the B2B tech or digital services space. Strong knowledge of email marketing platforms (Mailchimp, ActiveCampaign, etc.). Experience using data scraping or email extraction tools . Familiarity with CAN-SPAM and GDPR compliance in email campaigns. Strong written communication skills for writing personalized outreach messages. Understanding of US and Canadian business culture and time zones. Prior experience in lead generation for website design/development services is a big plus. Job Type: Full-time Pay: ₹20,000.00 - ₹35,000.00 per month Schedule: Monday to Friday Work Location: In person
Posted 4 days ago
Upload Resume
Drag or click to upload
Your data is secure with us, protected by advanced encryption.
The extraction job market in India is a thriving industry with numerous opportunities for job seekers. Extraction jobs involve extracting valuable resources such as oil, gas, minerals, and other natural resources from the earth. These roles are essential for the growth and development of various sectors in the country.
These cities are known for their active hiring in extraction roles, with a high demand for skilled professionals in the industry.
The average salary range for extraction professionals in India varies based on experience and skills. Entry-level positions can expect to earn around INR 3-5 lakhs per annum, while experienced professionals can earn upwards of INR 10-15 lakhs per annum.
In the extraction industry, a typical career path may involve starting as a Junior Engineer or Technician, moving on to roles such as Senior Engineer, Project Manager, and eventually reaching positions like Operations Manager or Director.
In addition to extraction skills, professionals in this field are often expected to have knowledge of geology, environmental regulations, safety procedures, and project management.
As you prepare for interviews and explore opportunities in the extraction industry in India, remember to showcase your skills, experience, and passion for the field. With the right preparation and confidence, you can excel in extraction roles and contribute to the growth of this dynamic industry. Good luck!
Browse through a variety of job opportunities tailored to your skills and preferences. Filter by location, experience, salary, and more to find your perfect fit.
We have sent an OTP to your contact. Please enter it below to verify.